洗衣机控制器设计

合集下载

洗衣机控制器设计

洗衣机控制器设计

目录1.设计任务及要求 (2)1.1设计的主要内容: (2)1.2设计要求: (2)2.设计原理及总体框图 (3)2.1 工作原理 (3)2.2源程序: (4)2.2.1数码管显示 (4)2.2.2时序电路 (6)2.2.3预置时间和编码电路 (7)2.2.4译码器 (9)2.2.5定时器电路 (10)3.编译及仿真..................................................................................................... 错误!未定义书签。

4.硬件调试与结果分析 (14)5.心得体会 (16)6.参考文献 (17)1.设计任务及要求利用可编程逻辑器件丰富的内部资源,借助EDA(电子设计自动化)工具把家电控制器电路集成在一片FPGA(现场可编程门阵列)芯片内,这样就无需专门的单片机和外部逻辑电路,从而减小了电路的体积、提高了系统的稳定性。

本次电路设计是洗衣机控制器设计。

1.1设计的主要内容设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。

设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒……”,定时到则停止,同时发出提示音。

1.2设计要求:(1)设计一个电子定时器,使洗衣机作如下运转:定时启动—〉正转20秒—〉暂停10秒—〉反转20秒—〉暂停10秒—〉定时不到,则重复上面过程。

(2)若定时到,则停止,并发出音响信号。

(3)用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由开始信号开始。

(4)三只LED灯表示“正转”、“反转”、“暂停”三个状态。

2.设计原理及总体框图2.1 工作原理洗衣机控制器的设计主要是定时器的设计,由一片FPGA 和外围电路构成了电器控制部分。

全自动洗衣机控制器的设计

全自动洗衣机控制器的设计

全自动洗衣机控制器的设计一、本文概述随着科技的不断发展,家用电器的智能化和自动化水平日益提高,全自动洗衣机已经成为现代家庭不可或缺的家电设备。

全自动洗衣机控制器作为洗衣机的核心部件,其性能和设计直接影响到洗衣机的使用体验和效率。

因此,全自动洗衣机控制器的设计研究具有重要的现实意义和应用价值。

本文旨在探讨全自动洗衣机控制器的设计,包括硬件电路设计、软件编程、控制系统架构等方面。

通过深入研究洗衣机的工作原理和用户需求,设计出符合实际应用需求的控制器,以提高洗衣机的性能、稳定性和智能化水平。

本文还将探讨洗衣机控制器设计中的关键技术和难点,以及未来洗衣机控制器的发展趋势和前景。

通过本文的阐述,读者可以全面了解全自动洗衣机控制器的设计过程和技术要点,为相关领域的研究和应用提供参考和借鉴。

本文也希望能够促进洗衣机控制技术的不断创新和发展,为家庭生活的便捷和舒适做出更大的贡献。

二、全自动洗衣机控制器的基本原理全自动洗衣机控制器是洗衣机的大脑,负责控制洗衣机的所有操作过程。

其基本原理可以概括为:接收用户输入的操作指令,通过微处理器或控制芯片对指令进行解析和处理,然后根据预设的程序,控制电机、水泵、加热器、排水阀等各种部件的运行,实现洗衣机的全自动化运行。

全自动洗衣机控制器的核心是微处理器或控制芯片。

微处理器负责接收用户输入的指令,如洗衣模式、洗涤时间、洗涤温度等,然后根据预设的程序,控制各个部件的运行。

控制芯片则负责将微处理器的指令转化为具体的控制信号,以驱动电机、水泵、加热器、排水阀等部件的运行。

全自动洗衣机控制器还需要具备多种传感器,如水位传感器、温度传感器等,以监测洗衣机的运行状态。

水位传感器可以监测洗衣机内的水位,当水位达到预设值时,控制器会停止加水,并开始洗涤。

温度传感器可以监测洗涤水的温度,当温度达到预设值时,控制器会停止加热,以避免过热对衣物造成损害。

全自动洗衣机控制器还需要具备安全保护功能。

例如,当洗衣机出现故障时,控制器会自动切断电源,以避免造成更大的损失。

单片全自动洗衣机控制器设计

单片全自动洗衣机控制器设计

全自动洗衣机控制器设计一.设计任务及要求:设计任务:全自动洗衣机控制器设计设计要求:1.洗涤过程通电后,洗衣机进入暂停状态,以便放好衣物。

2.脱水过程洗涤或漂洗过程结束后,电机停止转动,排水阀通电,开始排水。

3.漂洗过程与洗涤过程操作相同,只是时间短一些。

全部洗衣工作完成后,由蜂鸣器发出音响,表示衣物已洗干净。

4.洗衣机的标准洗衣程序是:洗涤——脱水——漂洗——脱水——漂洗——脱水——漂洗——脱水。

5.暂停功能。

6.声光显示功能。

7.要求做出实物。

二、指导教师成绩评定:设计过程(40分):实物(40分):报告(20分):三、成绩指导教师签名:年月日目录第1章设计目的 (1)第2章设计要求 (1)第3章硬件电路设计 (2)3.1系统方案设计 (2)3.2STC89C52单片机最小系统 (2)3.2.1STC89C52单片机介绍 (2)3.2.2复位电路 (4)3.2.3晶振电路 (5)3.3LED显示电路 (5)3.3.174HC573介绍 (5)3.3.2 LED数码管介绍 (7)3.3.3显示电路工作原理 (7)3.4系统电路原理图 (8)3.4.1 Altium Designer简介 (8)3.4.2 电路原理图 (9)第4章软件设计 (10)4.1 主程序设计 (10)4.2源程序 (12)第5章设计总结 (17)参考文献 (18)第1章设计目的1、掌握单片机实际系统的开发步骤,能够对单片机所涉及到的电子电路、电子元器件和电路板的焊制组合有进一步的认识。

2、加深对单片机硬件电路图和程序流程图的绘制的方法的理解,并能熟练的利用相关软件绘制出这些图。

3、巩固单片机控制系统程序的编写、调试和运行的方法。

4、掌握单片机应用系统的开发调试过程,为今后踏上工作岗位后能胜任这方面的工作做好铺垫。

第2章设计要求1、洗涤过程。

通电后,洗衣机进入暂停状态,以便放好衣物。

若不选择洗衣周期,则洗衣机从洗涤过程开始。

全自动洗衣机控制器的设计

全自动洗衣机控制器的设计

3、输入设备
输入设备主要用于接收用户输入的指令,如洗涤程序、洗涤时间等。常见的 输入设备包括按键、触摸屏等。
4、输出设备
输出设备主要用于显示洗衣机的状态和洗涤结果,如LED、LCD等。
5、与洗衣机的通信接口
基于单片机全自动洗衣机控制器还需要与洗衣机本身进行通信,以获取洗衣 机的状态信息并控制洗衣机的运行。常见的通信接口包括串口、I2C等。
2、通过水位传感器获取水位信息,并反馈给MCU,由MCU根据预设的程序自 动调整进水电磁阀的开度,以保持水位稳定;
3、根据衣物的材质和污渍程度,自动调整洗涤时间和水温; 4、在洗涤过程中,根据洗涤程序的不同阶段,控制各个电机的运行和停止;
5、通过LED显示屏或手机APP反馈洗涤进程和故障信息给用户。
一、概述
单片机是一种集成度高、功能强大、可靠性高的微控制器,广泛应用于各种 嵌入式系统中。在全自动洗衣机控制中,单片机可以实现对洗涤程序、水位、洗 涤时间、洗涤方式等多种参数的精确控制,从而提高洗衣机的性能和效率。
二、硬件设计
全自动洗衣机的硬件部分主要包括电源模块、单片机模块、输入设备(如按 键、触摸屏等)、输出设备(如LED、LCD等)、以及与洗衣机的通信接口等。
5、人机交互模块:负责显示洗涤进程和故障信息给用户,以及接收用户输 入的指令。
3、代码实现在代码实现阶段,我们需要根据系统设计的要求,逐步将每个 功能模块转化为具体的代码。
参考内容
随着科技的进步,单片机技术在全自动洗衣机控制中的应用越来越广泛。本 次演示将介绍基于单片机全自动洗衣机控制器的设计与仿真。
1、主控模块:负责接收用户指令和传感器信号,并输出控制信号给各个电 机和电磁阀;
2、水位控制模块:负责根据水位传感器信号反馈,调整进水电磁阀的开度 以保持水位稳定;

课程设计---洗衣机控制器的设计

课程设计---洗衣机控制器的设计

电子技术课程设计报告书课题名称洗衣机控制器的设计姓名学号院、系、部专业电子信息工程指导教师2010年 11 月 25日洗衣机控制器的设计1 设计目的(1) 熟悉集成电路的引脚安排。

(2)掌握各芯片的逻辑功能及使用方法。

(3)了解面包板结构及其接线方法。

(4)了解洗衣机控制器的组成及工作原理。

(5)熟悉洗衣机控制器的设计与制作。

(6)运用HVDL 语言完成洗衣机控制器的编程。

2 设计思路(1)设计洗衣机控制器电路。

(2)设计可预置时间(10-30分钟)的定时模块。

(3)设计洗衣机运作模块,控制洗衣机的进水,洗衣,放水,脱水运转。

(4)设计分频模块,为洗衣机提供‘秒’时钟。

(5)设计译码模块,完成对时间(分)的二进制译码和LED 管的动态扫描。

(6)设计电源电路和按键判别电路。

(7)设计显示电路,完成对剩余时间和工作状态的显示3 设计过程3.1 方案论证洗衣机控制器的整体框图如下(图一)所示:图 一(洗衣机控制器原理框图)FPGA 芯片 电源总控制键盘电路 译码模块洗衣机运作模块 显示电路 分频模块 时钟(1K )工作原理:1、开启电源后,洗衣机进入总控模块的时间设定模式,由7段LED 灯显示所设定时间;2、时间设定后,启动洗衣机,进入洗衣机运转模式,即启动洗衣机运转模块,同时屏蔽总控模块总时间(Time_all )信号。

每次自动洗衣时间由总控模块自动分配。

七段LED 灯轮换显示工作模式(进水=1,洗衣=2,放水=3,脱水=4)和剩余时间。

3、3次自动洗衣完成后,洗衣机进入待机模式,七段LED 灯灭,再次等待时间设定。

电路设计洗衣机控制器主要电路如图所示:ULN2803是高电压大电流达林顿晶体管阵列,用于高电压大电流负载。

74LS138为动态扫描译码电路,可基于该电路扩展LED 显示为最高8位动态。

增加了VCC 所接上拉电阻,为LED 显示提供足够的驱动电流。

KEY 为机械开关,只针对单个按键进行合键判别,无对应行列扫描。

全自动洗衣机控制器的设计

全自动洗衣机控制器的设计

全自动洗衣机控制器的设计随着科技的不息进步,家居电器也不息智能化,其中一款备受欢迎的智能家电就是全自动洗衣机。

全自动洗衣机通过内置的控制器,可以实现洗涤、漂洗、脱水等一系列操作,便利了人们的生活。

本文将探讨原理和关键技术。

需要思量多个因素,包括洗衣程序、水位控制、温度控制、运转控制等。

起首,我们来探讨洗衣程序的设计。

通常,全自动洗衣机会预设多个洗衣程序,如标准洗、快速洗、混合洗、柔和洗等。

每个洗衣程序都有不同的洗涤时间、漂洗次数和脱水时间。

为了实现这些功能,控制器需要依据用户选择的洗衣程序,控制洗衣桶的转速、定时器和水泵的工作。

水位控制是全自动洗衣机控制器的另一个重要方面。

在洗涤过程中,水位的高矮直接影响着洗涤的效果。

常见的水位控制方式有机械传感器和电子传感器。

机械传感器通过浮球的上下浮动来裁定水位高矮,而电子传感器则通过电极来检测水位。

控制器需要依据传感器的信号,控制进水阀门的开关,以达到合适的洗涤水位。

温度控制是实现不同洗衣程序的关键之一。

全自动洗衣机通常可以选择热水、暖水或冷水洗涤。

控制器需要通过控制加热器和水阀,实现水温的调控。

例如,在选择热水洗涤程序时,控制器会打开加热器,并通过水阀调整冷水与热水的比例,以达到设定的洗涤温度。

运转控制是全自动洗衣机中最基本的功能之一。

控制器需要精通洗涤桶的转速、方向和时间。

洗涤桶通常有洗涤、漂洗和脱水三个程序,每个程序都有不同的转速和时间。

控制器需要通过控制电机的转速和方向,以及定时器的设置,实现不同程序的切换和控制。

除了上述功能,全自动洗衣机控制器还需要思量故障保卫和用户界面设计。

故障保卫是指在使用过程中出现故障时,控制器能够准时停止运行并发出警报。

例如,当水位传感器检测到高水位时,控制器应马上停止水泵的工作,并发出报警。

用户界面设计是指控制器的操作界面应简易易懂,并且能够显示洗衣程序、洗涤时间、水温等信息,便利用户操作和监控。

综上所述,需要思量洗衣程序、水位控制、温度控制、运转控制、故障保卫和用户界面设计等方面的因素。

全自动洗衣机控制系统设计

全自动洗衣机控制系统设计

摘要随着数字技术的快速发展,数字技术被广泛应用于智能控制的领域中。

单片机以体积小、功能全、价格低廉、开发方便的优势得到了许多电子系统设计者的青睐。

它适合于实时控制,可构成工业控制器、智能仪表、智能接口、智能武器装置以及通用测控单元等。

本文以AT89S51单片机为核心设计了全自动洗衣机控制系统,本系统实现了对洗衣机整个洗衣过程的控制,包括用户参数输入、洗衣、脱水和结束报警四个阶段。

控制系统主要由电源电路、单片机控制系统和外部硬件电路三大模块组成。

电源电路为单片机主控系统提供5v的直流电压;单片机主控系统负责控制洗衣机的工作过程,主要由AT89S51单片机、数码管、按键、蜂鸣器、LED指示灯组成;外部硬件电路有继电器、三极管、LED灯组成。

本系统的电路并不复杂,给AT89S51单片机载入软件程序后,能够实现全自动洗衣机的基本功能。

虽然不能与电器市场上的洗衣机控制系统媲美,但也具有一定的实用性。

关键词: AT89S51 用户参数继电器控制系统 LEDAbstractWith its rapid development, digital technology is widely used in the field of control system. Single chip microcontroller is favored by many electronic system designers for its smallness, full function, low price and easy application. Its pretty fit for real-time control as a core in industrial controller, intelligent apparatus, intelligent interface, intelligent weapon device, universal measure control unit, etc.This article designs a full-automatic washing machine control system with AT89S51 as core. This system realizes whole working course of full-automatic washing machine, including four parts: user parameter input, wash, dehydrate and ending music play. Hardware system is made up of three modules: power supply circuit, digital control circuit and machine control circuit. Power supply circuit provides steady DC 5V voltage for digital control circuit and AC 220V for motor. Digital controlcircuit takes charge of controlling the working course. It consists of AT89S51, double-figured common-cathoded numeral display, buttons, buzzer, LED. Machine control circuit realizes the functions of water level detect, motor driven, water import and export, it consists of water level detector, motor, transmission system components and penstocks.After downloading the program to AT89S51, this circuit can realize basic functions of full-automatic washing machine. It seems hard for this control system to compare with perfect ones in the electrical appliance market, but it has certain practicability.Keywords: AT89S51 User parameter input water level detector Control system LED提纲引言 (1)第1章概述 (4)1.1课题开发背景 (4)1.2设计的目的和意义 (4)1.3国内外现状及水平 (5)第2章设计方案 (7)2.1设计任务 (7)2.2洗衣机的设计方案 (7)2.1.1按键 (7)2.1.2洗衣程序 (8)2.1.3 设计总方框图 (8)2.3控制系统的功能 (9)第3章硬件设计 (9)3.1控制系统的电路组成 (9)3.2电源电路 (9)3.3单片机控制电路 (10)3.3.1 AT89S51 单片机主控系统 (10)3.3.2 单片机的复位电路 (13)3.3.3单片机的时钟电路 (14)3.3.4显示电路 (14)3.3.5蜂鸣器报警电路 (16)3.3.6电动机的控制电路 (17)3.3.7 进水、排水电路 (18)第4章软件设计 (19)4.1主程序设计 (19)4.2标准洗衣机程序设计 (20)4.3洗涤程序的设计 (20)4.4漂洗程序的设计 (21)4.5脱水程序的设计 (22)第5章调试 (23)5.1硬件调试 (24)5.2软件调试 (24)总结 (25)致谢 (26)参考文献 (27)附录1:程序 (27)附录2:全自动洗衣机自动控制系统原理图 (37)引言目前中国洗衣机市场正进入更新换代的时期,市场潜力巨大,人们对于洗衣机的要求也是越来越高。

课程设计——洗衣机控制器设计

课程设计——洗衣机控制器设计

洗衣机控制器设计摘要从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。

接下来脉冲是一定要有的,我们可以用555定时器构成的多谐振荡器来产生脉冲。

除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录第一章设计任务与要求 (4)第二章基本原理 (4)第三章设计方案 (5)第四章单元电路的设计和元件的选择 (7)4.1秒脉冲发生器 (7)4.2分秒计数器 (9)4.3时间译码及控制门 (11)4.4总电路图 (12)第五章仿真结果 (12)5.1秒脉冲发生器 (13)5.2分秒计数器 (13)5.2.1正转............................................................................. 错误!未定义书签。

第六章真结果分析.. (14)第七章体会小结 (14)第八章元器件清单 (15)洗衣机控制器设计第一章设计任务与要求设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作图1 洗涤模式(1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。

(2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。

(3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。

当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

洗衣机控制器设计

洗衣机控制器设计

毕业设计任务书(15)一、设计题目洗衣机控制器设计二、目的与性质利用51系列单片机,设计一个全自动洗衣机控制电路,要求能够实现全自动洗衣机的基本功能。

通过此设计达到进一步理解和运用单片机技术的能力。

三、任务与要求1.学习单片机的相关知识;2.设计一个全自动洗衣机的单片机控制系统,实现全自动洗衣机的基本功能;3.编写并调试相关程序。

四、设计完成的工作量1.查阅相关资料,根据以上任务与要求,完成总体方案设计;2.画出系统电路原理图(用protel完成);3.编写相应程序,并在试验装置上调试通过;4.设计说明书10000字以上。

目录前言第一章洗衣机控制器概论1.1 洗衣机控制器的概述1.2 设计方案第二章硬件设计2.1 洗衣机控制器的外部结构2.2 系统硬件2.3 芯片介绍第三章系统软件设计3.1 主程序流程图3.2 各子程序流程图第四章结束语前言目前中国洗衣机市场正进入更新换代期,市场潜力巨大,人们对于洗衣机的要求也越来越高,目前的洗衣机主要有强弱洗涤功能、进排水系统故障自动诊断功能、暂停等七大功能,在许多方面还不能达到人们的需求。

这就要求设计者们有更高的专业和技术水平,能够提出更多好的建议和新的课题,将人们的需要变成现实,设计出更节能、功能更全面、更人性化的全自动洗衣机。

目前的洗衣机都没有实现全方面的兼容,大多洗衣的厂家都注重各自品牌的洗衣机的特长,突出一两个与别的洗衣机不同的个性化的功能,洗衣机的各项功能是由单片机控制实现的,单片机的体积小,控制功能灵活,因此,设计出基于单片机的全自动洗衣机控制电路系统具有很强的实用性。

随着人民生活水平(特别是乡村生活水平)的不断提高,社会上对洗衣机的需求量越来越大,而且随着生活质量的不断提高,人们对洗衣机的功能要求越来越高,使得洗衣机的更新较快,因此,洗衣机做为人们追求现代生活的一个基本要求在我国有着极大的市场。

“更节水,更洗净”将成为今年中高档洗衣机最大的亮点和卖点。

洗衣机控制器课程设计

洗衣机控制器课程设计

洗衣机控制器 课程设计一、课程目标知识目标:1. 让学生掌握洗衣机控制器的基本原理,理解其电路构成及功能。

2. 使学生了解洗衣机控制器的编程方法,学会编写简单的控制程序。

3. 帮助学生了解洗衣机控制器与其他家电的互联互通,拓展智能家电的知识领域。

技能目标:1. 培养学生运用控制器进行家电控制的能力,提高实际操作技能。

2. 培养学生独立思考和解决问题的能力,通过编写程序实现洗衣机的智能化控制。

3. 提高学生的团队协作能力,通过小组合作完成控制器的设计与调试。

情感态度价值观目标:1. 激发学生对家电控制技术的兴趣,培养其探索精神和创新意识。

2. 培养学生关注智能家居领域的发展,增强社会责任感和时代使命感。

3. 引导学生树立正确的消费观念,提倡环保、节能的生活方式。

课程性质:本课程为实用技术类课程,以实践操作为主,理论讲解为辅。

学生特点:本年级学生具有较强的求知欲,动手能力较强,但对洗衣机控制器相关知识了解较少。

教学要求:结合学生特点,注重理论与实践相结合,强化实践操作环节,提高学生的动手能力和创新能力。

通过课程学习,使学生能够掌握洗衣机控制器的基本原理和操作方法,培养其编程思维和团队协作能力。

同时,关注学生的情感态度价值观的培养,使其在学习过程中形成正确的价值观和消费观念。

将课程目标分解为具体的学习成果,便于后续教学设计和评估。

二、教学内容1. 洗衣机控制器原理:讲解洗衣机控制器的电路构成、工作原理及各部分功能,结合课本第三章第一节内容。

2. 编程方法:介绍洗衣机控制器的编程语言和编程方法,以课本第四章为基础,通过案例教学使学生掌握基本编程技巧。

3. 控制器操作与调试:讲解控制器的基本操作方法,组织学生进行实践操作,学会调试控制器程序,参考课本第五章内容。

4. 智能家电互联互通:分析洗衣机控制器与其他家电的互联互通原理,结合课本第六章,拓展学生智能家电的知识领域。

5. 实践项目:分组进行洗衣机控制器的编程与调试,完成一个简单的智能家居控制系统设计,涵盖课本第三至六章的主要内容。

智能洗衣机控制器设计

智能洗衣机控制器设计
6.2
工作过程中所需的各种计时均有定时器0定时中断服务64程序提供。单片机晶振频率12Mllz,定时器0选择工作方式1,设置时间常数,每0.1S中断~次。中断处理程序流程图如下图所示。
6.3
为了防止外部电压过高或过低对洗衣机的电器及控制硬件产生破坏,用外部中断0进行保护。当电压过高或过低时,引起外部中断0,洗衣机停止一切动作,进入保护状态。用外部中断1来实现洗衣过程停止工作。在洗衣过程中,当暂停键K0按下时,引起外部中断1,转入中断1处理程序。中断l处理程序将使洗衣机停止工作,并将停止前的状态存储起来。当按下启动键K2时,洗衣机又恢复工作。程序设计流程图
图4-6状态显示பைடு நூலகம்路
4.2.3输出控制
输出控制电路由触发器电路和相应的双向晶闸管组成。控制电机正反转以及进水阀和排水阀的开启和关闭。通过触发器电路和相应的双向晶闸管,电动机的正转和反转用单片机P1.1和P1.2进行控制;进水电磁阀和排水电磁阀用单片机的P3.0和P3.1进行控制
五软件设计方框图
5.1
C8051F020外设还增添了三个串行口。可同时与外界进行串行数据通信,SMBus兼容于I2C串行扩展总线;SPI串行扩展接口;两个增强型UART串口。C8051F020具有基于JTAG接口的在系统调试功能,片内的调试电路通过JTAG接口可提供高速、方便的在系统调试。
9.
所谓1602液晶显示器,就是指每行可以显示16个字符,可以显示2行,总共可以显示32分字符。1602是是单排16引脚,1脚是电源地,2脚是电源,3脚是对比度调节,4(RS)、5(RW)、6(E)是控制读写指令,7—14是数据线,15脚是LCD背光电源正,16脚是LCD背光电源负。1602的控制主要通过4、5、6引脚的控制进行:

洗衣机控制器课程设计

洗衣机控制器课程设计

以下是一个洗衣机控制器课程设计的示例:课程名称:洗衣机控制器设计课程目标:1.了解洗衣机的基本原理和工作原理。

2.掌握洗衣机控制器的组成和功能。

3.学习使用嵌入式系统开发工具进行洗衣机控制器的设计和编程。

4.实践设计一个简单的洗衣机控制器原型。

课程大纲:1.洗衣机基本原理•介绍洗衣机的结构和工作原理。

•讲解洗衣机的动力系统、进水系统、排水系统等基本组成部分。

2.洗衣机控制器概述•解释洗衣机控制器的作用和功能。

•介绍洗衣机控制器的硬件和软件组成。

3.嵌入式系统开发工具介绍•介绍常用的嵌入式系统开发工具,如Arduino、Raspberry Pi等。

•讲解开发工具的基本原理和使用方法。

4.洗衣机控制器设计与编程•设计一个简单的洗衣机控制器电路。

•使用嵌入式系统开发工具进行控制器的编程。

•讲解控制器的各个功能模块的实现方法,如电机控制、传感器接口、显示器等。

5.实践项目:洗衣机控制器原型•学员分组进行实践项目。

•设计和搭建一个简单的洗衣机控制器原型。

•编写控制程序,实现基本的洗衣操作功能。

6.测试与改进•测试洗衣机控制器原型的功能和性能。

•分析测试结果,进行改进和优化。

7.总结与展望•总结课程学习成果。

•展望洗衣机控制器技术的发展方向和应用前景。

教学方法:•授课讲解:通过演示和讲解来介绍洗衣机控制器的基本概念和原理。

•实践操作:学员通过实践项目,亲自设计和搭建洗衣机控制器原型,并进行编程和测试。

•小组讨论:鼓励学员在小组内进行讨论和合作,促进彼此学习和交流。

评估方式:•实践项目成果评估:评估学员设计和搭建的洗衣机控制器原型的功能和性能。

•知识考核:通过笔试或口头答辩等形式,考核学员对洗衣机控制器的基本原理和设计方法的理解。

这个课程设计旨在通过理论讲解和实践操作相结合的方式,帮助学员全面了解洗衣机控制器的设计过程和技术要点。

通过实践项目的实施,学员将能够应用所学知识设计出简单的洗衣机控制器原型,并加深对嵌入式系统和控制器设计的理解和掌握。

全自动洗衣机控制器设计

全自动洗衣机控制器设计

全自动洗衣机控制器的设计XXX内容摘要:随着计算机在社会领域的渗透,单片机的应用正在不断的走向深入,同时带动了传统控制检测技术的日新月异。

在实时检测和自动控制的单片机应用系统中,单片机往往是作为核心部件,结合具体硬件结构以及相应的软件,完成对系统的控制和驱动。

基于单片机控制系统为基础的洗衣机控制器已经代替了传统的机械控制系统,成为了洗衣机应用的发展趋势。

可编程控制器(PLC)是以计算机技术为核心的通用自动化控制装置,它的成功性能强,可靠性高,体积小巧。

该全自动洗衣机的设计是以西门子S7—200PLC CPU224为控制核心,分配有12个输入点和输出点,采用了7个定时器对电机转动进行计时,2个计数器对洗衣机进行循环控制。

实现浸泡—洗涤—漂洗—脱水自动控制。

洗衣机控制器的设计包含硬件设计和软件设计两部分。

论文从硬件电路原理、重要元器件的选型、硬件调试、用户界面、软件设计、软件调试等方面,详细介绍了洗衣机控制器的设计,通过洗衣机控制器实现了对洗衣机的控制功能。

关键词:可编程控制器;全自动洗衣机;自动控制;随着社会的发展,工业话化的加速,出现了洗衣机,再就是自动洗衣机。

无论是波轮式洗衣机也好,都朝着智能化,水流方式多样化,洗衣机创造化,设计更趋人性化四大特征方向发展,传统的电气控制已竟不能满足现状的要求了,使智能化得控制取代了传统的工业控制,洗衣机的工作原理:全自动化洗衣桶和脱水桶是以同一心安放的,内桶可以旋转,作为脱水桶。

内同的周围有许多小孔,使内桶和外桶水流相通,洗衣机的进水和排水分别由进水电磁阀和排水电磁阀来执行。

进水时通过控制系统将进水电磁阀打开,经水管将水注入到外桶。

排水时,通过控制系统将排水电磁阀打开,将水由外桶拍到机外。

洗涤正传、反转由洗涤电动机驱动拨盘正反转来实现,此时脱水桶并不旋转。

脱水时,控制系统将离合器合上,由洗涤电动机带动内桶进行正反转甩干,高、低水位控制开关分别用来检测高、低水位。

全自动洗衣机控制器设计

全自动洗衣机控制器设计

1.3系统体系结构设计
全自动洗衣机控制器的任务是控制洗衣机自动完成洗衣流程。包括:按 键识别、指示灯提示、进水/放水阀控制、水量检测、浸泡时间控制、 洗涤时间控制、出水阀控制、脱水时间控制、甩干时间控制、鸣笛提示 等
1.4 硬件设计
全自动洗衣机控制器硬件主要由单片机、按键、指示灯、进水/放水阀、 压力传感器、电机驱动电路、时间/状态显示电路、蜂鸣器等部件组成。
1.4 硬件设计
电源为5V直流电源,通过一个LED灯来显示电源通断情况 通过LM044L液晶屏,显示当前洗衣机的运行状态 设置一个复位按钮 设置一个蜂鸣器,发出警告信息 选用4×4键盘,以方便使用者实现快速功能设定 选用L298电机驱动芯片作为洗衣机电机、进水阀门、排水阀门的驱动芯
片 选用ADC0808来完成水位检测、电压检测功能
1.4 硬件设计
引脚单编号片使机用说选明 用AT89C51,引和脚编单号 片机直使接用说连明 接的器件有: P0.0按键、LCD显示器、A/PD2.0转换器、电机驱动电路、电
P0.1磁阀驱动电路、蜂鸣器P2.1等。引脚分按键配如下表所示。
P0.2
AD转换芯片输出
P2.2
P0.3

P2.3
P0.4
P2.4
1.5软件设计
选用C语言为编写语言, 以增加程序的可读性, 方便程序修改,方便程 序维护
1.5软件设计
定时中断子程序: 在定时中断中,主 要完成LCD显示、电 机PWM波输出高低 电平、电机运行状 态设置等功能。
1.5软件设计
按键中断子程序:外部中断0设按 键中断。当有按键按下后,就会 产生外部中断0中断,就进入按键 中断程序。
AD转换芯片EOC
P0.5

课程设计 洗衣机控制器

课程设计 洗衣机控制器

题目洗衣机控制器班级 06电子信息学号姓名时间科技艺术学院一、设计要求普通洗衣机的主要控制电路是一个定时器,它按照一定的洗涤程序控制电机作正向和反向转动。

设计要求如下1、洗衣机转动模式有三种,分别为强力,标准和轻柔。

强力:正转4s,停止2s,反转4s;标准:正转3s,停止2s,反转3s;轻柔:正转2s,停止1s,反转2s;2、洗衣时间可选择5分钟,10分钟,15分钟,20分钟。

3、洗完后进行两次漂洗每,次5分钟。

4、漂洗结束后进行脱水。

5、自动排水。

6、洗衣结束后发出警报。

7、可用数字显示洗衣机的全部工作时间。

二、总体设计原理对于自动控制,使用单片机是最简单的,但是对于普通的洗衣机控制使用一般器件也可完成。

我设计的洗衣机控制电路主要采用CMOS和TTL集成器件,如计数器,锁存器,与门,非门,555定时器等构成洗衣机控制电路。

洗衣机的洗衣流程如下:加水—(定时)洗衣—排水加水—漂洗—排水加水—漂洗—排水—脱水—排水—警报并停机。

电路设计框图如下:三、各单元设计与分析1、多谐振荡器多谐振荡器是一种自激振荡器,产生振荡信号,用于计时。

在许多场合对多谐振荡器的频率稳定性要求严格,一般采用石英晶体振荡器。

但是由于洗衣机对时间的精确度要求不是很高,所以我采用555定时器接成的1HZ 多谐振荡器。

电路如图: 图中是把555定时器接成施密特触发器,在用施密特触发器接成多谐振荡器的方法接成。

其中R 1=R 2=48K Ω,C 1=0.01μ,C 2=10μ把数据带入T=(R 1+2R 2)C 2ln2, 得T=1s即周期为一秒,输出1HZ 的信号。

2、时钟电路时钟电路采用计数器对输入的1HZ 振荡信号进行计数,从而实现计时。

用十进制计数器接成两个60进制计数器,分别用于计秒和计分。

因为整个洗衣时间不会超过1小时,所以不用计时。

V10U十进制计数器有很多中,如74LS90,74LS290,74160等。

74160有预置数功能,此功能在应用中不需要,用它肯定是浪费。

洗衣机控制器设计

洗衣机控制器设计

洗衣机控制器硬件设计一.————概述二.————电源线路三,————选择单片机四.————复位电路五. ————按键、显示电路六.————可控硅及其控制电路七.————水位检测电路八.————音乐蜂鸣电路九.————盖开关检测线路十.————模糊检测电路十一. ————EEPROM 电路一.概述《洗衣机控制器》的作用,顾名思义,就是要实现对洗衣机的各种自动控制。

如何着手进行一台《洗衣机控制器》的设计,从硬件角度,需要哪些基本模块,这些模块需具备什么功能,怎样工作的,设计时元器件参数如何选择,有哪些地方需要特别注意,这就是本说明书将要阐述的内容。

为此目的,在开始设计控制器之前,我们需要先对洗衣机的整体结构及工作流程有个初步的了解,知道洗衣机有哪些东西需要控制?洗衣机对控制器有什么要求?下面我们将以金羚洗衣机厂的XQB60-768B 为例,对洗衣机、主要是《洗衣机控制器》逐一展开描述。

洗衣机是为了减轻人们洗涤衣物这种劳动而发明的一种家用电器,为着了解洗衣机的工作过程和基本动作,我们不妨简单地回顾一下手工洗涤的过程:1. 首先将待洗涤的衣物放入盆中——2. 加入洗涤剂、洗衣粉等——3. 注入清水——4. 浸泡一段时间(视情况可省略此环节)——5. 用手搓洗——6. 将衣物从盆中取出、拧干——7. 倒掉污水——8. 注入清水——重复5——8步,2~3个循环,衣物洗净。

洗衣机的工作即是模拟手工洗涤的过程:1. 第一步还是要人来干的。

将待洗涤的衣物放入洗衣机桶中。

2. 第二步也需要人预先将洗涤剂等放入洗衣机内有关的容器中,或届时直接加入洗衣机桶内也可以。

从第三步开始,就是洗衣机要做的事了,下面逐一叙述,并顺便介绍一些专用术语,这些术语后面会经常用到;3. 注入清水——专业术语叫“进水”,洗衣机装有一个(或两个)控制进水的开关——“进水阀”,进水的具体实现就是将进水阀打开,让水流入桶内,水量达到要求后关闭进水阀,停止进水。

基于51单片机的全自动洗衣机控制器设计

基于51单片机的全自动洗衣机控制器设计

基于51单片机的全自动洗衣机控制器设计随着科技的进步和人们生活质量的提高,洗衣机已经成为现代家庭中必不可少的家电之一。

然而,传统的洗衣机控制方式往往存在操作复杂、功能单一等问题,无法满足用户对于高效、智能洗涤的需求。

因此,本文将介绍一种基于51单片机的全自动洗衣机控制器的设计,实现洗涤、漂洗、脱水等功能的自动化控制。

一、系统硬件设计1、控制器核心选择本设计选用51单片机作为控制器核心,利用其丰富的I/O口和定时器资源,实现对洗衣机的控制。

通过外接按键和蜂鸣器等元件,实现洗涤方式的选择、启动/停止控制等功能。

2、电源模块设计为了确保洗衣机的稳定运行,本设计采用220V交流电作为电源输入,通过变压器进行降压处理,再经整流滤波后得到稳定的直流电压,为控制器和其他部件供电。

3、输入输出模块设计输入模块主要包括按键和传感器。

其中,按键用于选择洗涤方式,传感器则用于检测水位、水温等信息。

输出模块主要包括继电器和蜂鸣器,继电器用于控制洗衣机的启动/停止,蜂鸣器则用于提示用户洗涤过程的状态。

二、系统软件设计1、程序初始化在程序开始运行时,首先进行初始化操作,包括配置定时器、设置I/O口状态等。

2、洗涤过程控制根据用户选择的洗涤方式,程序将通过定时器控制电机的运转时间,实现不同洗涤模式的自动化控制。

同时,通过检测水位、水温等信息,自动调整洗涤时间和水的温度,提高洗涤效果。

3、漂洗过程控制在洗涤过程结束后,程序将自动进入漂洗阶段。

通过控制进水和排水阀的开闭时间,实现自动漂洗。

同时,根据洗涤过程中收集的衣物量和洗涤效果,智能调整漂洗次数和时间,确保衣物清洗干净。

4、脱水过程控制在漂洗过程结束后,程序将自动进入脱水阶段。

通过控制电机转速和脱水时间,实现衣物的高效脱水。

同时,为了保护衣物和机器的安全,程序将根据衣物的种类和重量信息,智能调整脱水时间和转速,确保脱水过程的顺利进行。

5、报警提示功能为了方便用户及时了解洗涤过程的状态,本设计还实现了报警提示功能。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

宜宾学院课程设计2010-12-19宜宾学院课程设计任务书课程EDA技术课程设计题目洗衣机控制器设计专业电子信息工程姓名谢小龙学号080304044主要内容、基本要求、主要参考资料等主要内容:设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。

设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止,同时发出提示音。

基本要求:1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止;2、若定时到,则停机发出音响信号;3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。

主要参考资料:[1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005.[2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006.[3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003.完成期限指导教师专业负责人2010-12-19一、总体设计思想1、基本原理洗衣机控制器的设计主要是定时器的设计。

由一片FPGA和外围电路构成了电器控制部分。

FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。

对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。

顶层和中间层多数是由VHDL的元件例化语句实现。

中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。

2、设计框图二、设计步骤和调试过程1、总体设计电路洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。

具体电路如下图所示:2、模块设计和相应模块程序a:时间设定模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity settime isport(load,clk:in std_logic;k:in std_logic_vector(9 downto 0);seg:out std_logic_vector(6 downto 0);s:out std_logic_vector(1 downto 0);o:out std_logic_vector(7 downto 0));end settime;architecture art of settime issignal M:std_logic_vector(6 downto 0);SIGNAL N:INTEGER RANGE 0 TO 1;beginA1:process(load)isbeginif(load='1')thencase k iswhen"1000000000"=>M<="1111110";when"010*******"=>M<="0110000";when"0010000000"=>M<="1101101";when"0001000000"=>M<="1111001";when"0000100000"=>M<="0110011";when"0000010000"=>M<="1011011";when"0000001000"=>M<="1011111";when"0000000100"=>M<="1110000";when"0000000010"=>M<="1111111";when"0000000001"=>M<="1111011";when others =>NULL;end case;end if;end process;A2:process(clk)isBEGINIF(CLK'EVENT AND CLK='1')THENIF(N=1)THENN<=0;ELSEN<=N+1;END IF;END IF;CASE N ISWHEN 0 =>seg<=M;S<="11";WHEN 1 =>seg<=M;S<="10";WHEN OTHERS => NULL;END CASE;END PROCESS;A3:process(k)isbegincase k iswhen"1000000000"=>o<="00000000";when"010*******"=>o<="00111100";when"0010000000"=>o<="01111000";when"0001000000"=>o<="10110100";when"0000100000"=>o<="11111111";--when"0000010000"=>o<="00000101";--when"0000001000"=>o<="00000110";--when"0000000100"=>o<="00000111";--when"0000000010"=>o<="00001000";--when"0000000001"=>o<="00001001";when others =>NULL;end case;end process;end art;b:状态显示library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity zhuangtai isport(clk,en:in std_logic;run,rev,stop:out std_logic); end entity zhuangtai;architecture art of zhuangtai is signal m:INTEGER RANGE 1 TO 6; beginA1:process(clk)isbeginif(clk'event and clk='1')thenif(en='1')thenif(m=6)thenm<=1;elsem<=m+1;end if;end if;end if;end process;A2:process(m)isbegincase m iswhen 1 =>run<='1';when 2 =>run<='1';when 5 =>rev<='1';when 4 =>rev<='1';when 3 =>stop<='1';when 6 =>stop<='1';when others =>null;end case;end process;end art;c:十进制减数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity zhuangtai isport(clk,en:in std_logic;run,rev,stop:out std_logic);end entity zhuangtai;architecture art of zhuangtai issignal m:INTEGER RANGE 1 TO 6;beginA1:process(clk)isbeginif(clk'event and clk='1')thenif(en='1')thenif(m=6)thenm<=1;elsem<=m+1;end if;end if;end if;end process;A2:process(m)isbegincase m iswhen 1 =>run<='1';when 2 =>run<='1';when 5 =>rev<='1';when 4 =>rev<='1';when 3 =>stop<='1';when 6 =>stop<='1';when others =>null;end case;end process;end art;洗衣机接通电源,按load设置洗涤时间按start、rd置为高电平洗衣机开始工作,当时钟第一个上升沿到达时run(正转功能)为高电平维持20s以后变为低电平而pause(暂停功能)随着时钟上升沿的到来变为高电平维持10s变为低电平,然后rev(反转功能)开始随着时钟上升沿的到来变为高电平工作维持20s后变为低电平,再停止pause置高,接下来电路一直重复上述工作,知道定时器计数结束。

相关文档
最新文档