多功能计时器设计报告
多功能计时器-课程设计
U2 74LS48
g 20,21
f 20,21
e 20,21
d 20,21
c 20,21
b 20,21
a 20,21
71 12 24 68
TCU TCD
U4 74LS192
UP DN PL MR
U8 +5V
NOT
U10
U9
NAND
NOT
3 4 5 6
A B C D
Q0 Q1 Q2 Q3
7 10
9 2 1
信息工程学院 课程设计报告书
题目: 多功能计时器
专 业: 电子信息科学学技术 班 级: 学 号: 学生姓名: 指导教师:
2012 年 12 月 24 日
学号
设计题目
设 计 技 术 参 数
信息工程学院课程设计任务书
学生姓名 多功能计时器
专业(班级)
电子信息科学与 技术
1s 准确计时,置数范围 00 至 99 可调,显示范围 00 至 99
目录
目录................................................................................................................................................... 4 1 任务提出与方案论证...................................................................................................................5
ENP ENT LD CLK MR
RCO
数字钟实验报告--多功能计时电路的设计
电类综合实验报告题目:多功能计时电路的设计--数字钟姓名:马冯生学号:114116001203学院:材料学院专业:材料加工工程指导:电子技术中心完成时间:2015年3月24日目录一、引言二、实验目的三、实验设计要求四、实验原理及框图五、单元电路设计及其电路六、实验中遇到的问题及解决方法七、心得体会八、元器件清单一.引言随着数字技术在仪表和通信系统中的广泛应用,数字钟已经应用到生活的方方面面,而数字钟的功能也随着人们要求的提高在不断的增加,同时在数字技术的快速发展下,功能也越来越强大。
数字钟能够比传统的时钟更加精确的进行计时,并且能够实现多种显示。
在调节方面,能够内嵌许多诸如报时、万年历、彩铃等计时以外的功能。
本设计在介绍数字钟工作原理的基础上,运用数字集成技术,来设计实现一个多功能数字钟。
二.实验目的1、通过实验掌握十进制加法计数、译码、显示电路的工作过程。
2、通过实验深入掌握电路的分频原理和数字信号的测量方法。
3、熟悉集成电路构成的计数、译码、显示器件的外部功能及其使用方法。
三.实验设计要求1、秒信号发生电路:为计时器提供信号。
2、及时电路:完成0分00秒-9分59秒的计时功能。
3、清零电路:具有开机自动清零功能;在任何时候,按动清零开关,可进行计时器手动清零。
4、译码显示电路:显示计时电路产生的数字信息。
5、系统级联调试:将以上电路进行级联完成等计时器的所有功能。
四.实验原理及框图图1 三位计时器示意图数字钟示意图如图1所示,计时电路完成计时功能,并将计时结果传送至显示电路,进而实现显示功能。
原理框图如图2所示,主要由计时电路、秒信号发生电路、清零电路和译码电路组成。
计时电路在秒信号的作用下,产生0:00~9:59的循环计时,清零电路控制计时电路的清零端,实现时钟的清零,最终将计时电路的输出送至译码显示电路,实现时钟的显示。
图2 数字钟的原理框图五.单元电路设计及其电路1、秒信号发生电路图3 秒信号发生电路秒信号发生电路为计时电路提供驱动信号,电路原理如图3所示。
多功能数字钟的设计报告
多功能数字钟的设计报告目录1.实验目的 (2)2.实验题目描述和要求 (2)3.设计报告内容 (2)3.1实验名称 (2)3.2实验目的 (2)3.3实验器材及主要器件 (2)3.4数字钟基本原理和电路设计 (3)3.5数字电子钟单元电路设计、参数计算和器件选择..............................3-8 3.6数字电子钟电路图 (9)3.7数字电子钟的组装与调试 (9)4.实验结论 (9)5.实验心得 (10)参考文献 (10)1.实验目的※掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;※进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;※提高电路布局﹑布线及检查和排除故障的能力;※培养书写综合实验报告的能力。
2. 实验题目描述和要求1、基本要求(1)能进行正常的时,分,秒计时的功能,分别由6个数码管显示24小时、60分钟、60秒钟的计数显示。
(2)、具有清零功能。
(3)、具有开、关功能。
2、发挥部分(1)能实现“校时”“校分”功能:当按下“SA”“校时”键时,计时器迅速递增,并按24小时循环,计满23小时后返回00;按下“SB”“校分”键时,计分器迅速递增,并按60分钟循环,计满59分钟后返回00;但不向“时”进位。
(2)能利用扬声器做整点报时:整点自动报时。
(参考:在离整点10s内,便自动发出鸣叫声,步长1s,每隔1s鸣叫一次,前四响是低音,最后一响为高音,最后一响结束为整点,报时频率可定为1KHz。
)能进行整点报时:当计时到达59分50秒后,每隔2秒钟发出一次低音“嘟”信号(其声音频率为500HZ),连续5次到达整点,发出一次高音“嘀”信号(其声音频率为1000HZ)3、对电路进行逻辑功能仿真。
3.设计报告内容3.1实验名称: 数字电子钟3.2实验目的·掌握数字电子钟的设计、组装与调试方法;·熟悉集成电路的使用方法。
多功能数字计时器设计
电工电子综合实验(Ⅱ)实验报告多功能数字计时器设计姓名:I、设计要求一、实验目的1.掌握常见集成电路的工作原理和使用方法。
2.培养学生分析问题解决问题的能力。
3. 提高学生设计单元电路的,调试电路的实验技能二、实验内容及要求1. 应用CD4511BCD码译码器﹑LED双字共阴显示器﹑300Ω限流电阻设计﹑安装调试四位BCD译码显示电路实现译码显示功能。
2. 应用NE555时基电路、3KΩ、1KΩ电阻、0·047UF电容和CD4040计数分频器设计,安装,调试秒脉冲发生器电路(输出四种矩形波频率 f1=1HZ f2=2HZ f3≈500Hz f4≈1000Hz)。
3. 应用CD4518BCD码计数器、门电路,设计、安装、实现00′00″---59′59″时钟加法计数器电路。
4. 应用门电路,触发器电路设计,安装,调试校分电路且实现校分时停秒功能(校分时F2=2Hz)。
设计安装任意时刻清零电路。
5. 应用门电路设计、安装、调试报时电路59′53″,59′55″,59′57″低声报时(频率f3≈500Hz),59′59″高声报时(频率f4≈1000Hz)。
整点报时电路。
H=59′53″·f3+59′55″· f3+59′57″·f3+59′59″·f46.联接试验内容 1.—5.各项功能电路,实现电子计时器整点计时﹑报时、校分、清零电路功能。
三、实验要求设计正确、布局合理、排线整齐、功能齐全。
四、实验器材:1、集成电路:NE555 一片(多谐振荡)CD4040 一片(分频)CD4518 两片(8421BCD码十进制计数器)CD4511 四片(译码)74LS00 三片(与非)74LS20 一片(4输入与非)74LS21 两片(4输入与门)74LS74 一片(D触发)2、电阻:1KΩ一个3KΩ一个300Ω二十八个3、电容:0.047uf 一只4、共阴极双字屏两块五、器件引脚图及功能表1.CD4511图 CD4511引脚图2、共阴双字显示器3、NE555NE555功能表如下:(引脚4 )V4、CD40405、CD4518CD4518逻辑功能如表所示。
多功能数字时钟设计报告
题目:多功能数字钟设计学校:广东石油化工学院学院:机电工程学院班级:装控09--2姓名:黄亚冲学号:16一、设计任务多功能数字钟电路设计二、设计条件74LS00 4片,74LS48 6片,74LS74 1片,74LS90 5片,74LS92 2片,74LS191 1片,555 1个,数码显示器202 6片,电阻器 6个,电容器 4个,开关 2个三、设计要求①准确计时,以数字形式显示时、分、秒的时间。
②小时的计时要求为“12翻1”,分和秒的计时要求为60进制进位。
③校正时间。
4、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。
四、设计内容1.设计思想:数字钟主要分为数码显示器、60进制和12进制计数器、频率振荡器和校时这几个部分。
该系统的工作原理是:振荡器产生的稳定高频脉冲信号,作为数字钟的时间基准,在经分频器输出标准秒脉冲。
秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。
计数器的输出经译码器送显示器。
计时出现误差时可以用校时电路进行校时、校分、校秒。
图1、多功能数字钟系统组成框图2.电路结构与原理图(1)振荡器振荡器可由晶振组成,也可以由555定时器组成。
图3是由555定时器构成的1KHZ的自激振荡器,其原理是0.7(2R3+R4+R5)C4=1ms,f=1/t=1KHZ。
计时是1HZ的脉冲才是1S计一次数,所以需要分频才能得到1HZ的脉冲。
图2、晶体振荡器图3、555振荡器(2)分频器的设计分频器电路,是三个用十进制计数器74LS90串联而成的分频器,分频原理是在74LS90的输出端子中,从低位输入10个脉冲才从高位输出1个脉冲,这样一片74LS90就可以起十分频的作用,三个74LS90串联就构成了千分频的电路,输出的便是1HZ的信号,从而达到目的。
电路图见图4图4、分频器(3)小时计数器的设计——12进制计数器时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒,秒的个位计数器再输入一个秒脉冲是,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。
多功能数字钟电路设计实验报告
多功能数字钟电路设计实验报告实验目的:设计一个多功能数字钟电路,能够显示当前时间,并具备闹钟、秒表和计时等功能。
实验原理:1. 数码管显示:使用4位共阴极数码管进行显示,采用BCD码方式输入。
2. 按键输入:使用按键进行时间的调节和选择功能。
3. 时钟频率:使用晶体振荡器提供系统时钟,通过分频电路控制时钟频率。
实验器材:1. 4位共阴极数码管2. 按键开关3. 74LS90分频器4. 时钟晶体振荡器5. 耐压电容、电阻等元件6. 电路连接线实验步骤:1. 连接电路:根据电路原理图,将数码管、按键开关、74LS90分频器、晶体振荡器等连接起来,注意接线正确。
2. 编写程序:根据实验要求,编写相应的程序,实现时钟、闹钟、秒表和计时等功能。
3. 调试电路:将电路通电并运行程序,观察数码管的显示情况和按键功能是否正常。
4. 测试功能:分别测试多功能数字钟的时钟、闹钟、秒表和计时等功能,确保功能正常。
5. 完善实验报告:根据实验结果和观察情况,完善实验报告,并附上电路原理图、程序代码等。
实验结果:经过调试和测试,多功能数字钟电路能够正常显示时间,并具备时钟、闹钟、秒表和计时功能。
使用按键进行时间调节和功能选择,数码管根据不同功能进行相应的显示。
实验总结:通过本次实验,我掌握了多功能数字钟电路的设计原理和实现方法,并且了解了数码管显示、按键输入、时钟频率控制等相关知识。
实验过程中,我发现电路连接正确性对功能实现起到关键作用,同时合理编写程序也是确保功能正常的重要环节。
通过实验,我对数字电路的设计和实现有了一定的了解,并且培养了动手实践和解决问题的能力。
(数电)多功能数字钟—设计报告
1、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为24进制,并要求手动快校时、校分。
②扩展功能:整点报时。
2、系统设计原理:系统要求:数字电子钟由555集成芯片构成的振荡电路、计数器、译码器、显示器和校时电路组成。
555集成芯片构成的振荡电路产生的信号作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。
在功能方面,对于本次综合设计,还要求有校时与整点报时功能。
方案设计:图1. 数字钟电路框图电子钟的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。
因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。
主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。
系统工作原理:秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,用555振荡器来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计时器,可实现对一天24小时的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态用七段显示译码器译码,通过七段显示器显示出来。
校时电路时用来对“时”、“分”显示数字进行校对调整。
3.单元电路的设计:3.1、基于555电路的秒脉冲发生器的设计3.1.1用555芯片设计一个多谐振荡器,输出方波用作计数器。
脉冲频率公式:f=1/(R1+2R2)C㏑2选择R1=1K,R2=5K,RV1=2K,C=100nF,形成电路图如图所示:图2. 555振荡器电路图仿真波形如图所示图3. 555脉冲仿真波形图555振荡器输出f=1000HZ,通过分频得出1HZ的脉冲,此脉冲当做秒时针脉冲。
电子综合设计多功能数字钟报告
电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
多功能数字时钟设计报告
多功能数字时钟设计报告学校:湘潭大学学院:信息工程学院班级:自动化一班姓名:xxx学号: 2007550319指导老师:xx老师目录1、引言 (3)2、实验设计内容及要求 (3)3、设计电路的用途及原理简介 (4)4、单元电路设计原理 (5)5、仿真全图 (7)6、电路的调试说明 (8)7、所遇到的问题及解决 (8)8、实验总结和体会 (8)9、附录(集成芯片引脚图和功能表) (9)一、引言(一)摘要:随着人类科技文明的发展,人们对于时钟的要求在不断地提高。
时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。
高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。
在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。
本实验要求设计一个数字计时器,可以完成0分00秒~11小时59分59秒的计时功能,并在控制电路的作用下有开机清零、快速校分。
二、实验设计内容及要求1、设计内容简介:要求设计一个数字计时器,可以完成0分00秒~11小时59分59秒的计时功能,并在控制电路的作用下有开机清零、快速校分。
2、设计要求:1.设计一个脉冲发生电路,为计时器提供秒脉冲、为报时电路提供驱动蜂鸣器的脉冲信号;2.设计计时电路,完成0分00秒~11小时59分59秒的计时功能;3.设计校分电路,在任何时候,拨动校分开关,可快速校分;4.设计清零电路,具有开机自动清零功能,并在任何时候,按动清零开关,可以实现计时器清零。
2、实验器材元件三、设计电路原理简介:1、设计原理:电路由振荡器、分频器、计数器、译码器、显示器、校时电路、和报时电路组成。
振荡器产生的脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间。
校分电路实现对“分”上数值的控制,而不受秒十位四、单元电路设计原理1、秒脉冲发生器显示电路2.校时电路计数器VCC5V 3五.仿真全图GND六、电路的调试说明1、检查电路对照电路图检查电路器件是否连接正确,器件引脚、电容极性、电源线、地线是否对接,连接是否牢靠,电源的数值与方向是否符合设计要求。
多功能数字钟设计实验报告
《数字电路与逻辑设计实验》实验报告题目数字钟电路设计学院:信息工程学院系电子信息工程专业:班级:学号:学生姓名:同组同学:指导教师:递交日期:多功能数字钟设计一、实验目的1、综合应用数字电路知识,提高逻辑电路设计能力;2、学习使用protel或Altium designer进行电子电路的原理图设计、印制电路板设计;3、学习电路板制作、安装、调试技能和设计流程;4、了解数码管,译码器,555定时器及以下中规模器件的逻辑功能和使用方法。
二、设计任务和设计要求1、设计一多功能数字钟并进行仿真和PCB板制作。
2、基本功能:准确计时,以数字形式显示时、分、秒的时间。
3、扩展功能:校正时间,定时控制,正点报时。
三、设计方案1、数字钟设计方案基本框图如下2、各模块设计原理1.时的设计:时的计数以24小时为周期,按通常的习惯,24小时计数器的计数序列为00,01,…,22,23,00,…,即当计数到23小时59分59秒时,再来一个秒脉冲,计数器就进到00时00分00秒。
这样,可利用反馈置数或反馈清零法进行二十四进制计数,本实验采用74LS161进行设计。
2.分、秒的设计:分和秒计数器都是模M=60的计数器。
计数规律为00,01,…,58,59,00,…。
它们的个位都是十进制,而十位则是六进制。
3.译码显示:将计数器和闹钟输出的4位二进制代码,译码显示出相应的十进制数状态,可利用显示译码器和数码管实现。
4.校时电路:校时可用1s 脉冲快速校正,也可手动产生单次脉冲慢校正至时或者分计数器。
可设置不同脉冲来控制实现校正或正常计数。
5.定时控制:数字钟在指定的时刻发出信号,实现闹钟功能,通过数据选择器使得在设定闹钟是可在数码管上显示设定时间而不影响正常计数。
6.正点报时:每当数字钟计时快要到正点时发出声响,通常按照4低音1高音的顺序发出间断声响,以最后一声高音结束的时刻为正点时刻,即当分达到59,秒达到50开始发出声响,50、52、54、56、58、60(高音)。
多功能计时器设计报告
多功能计时器设计报告一、引言多功能计时器是一种常见的电子设备,能够用于各种场景中的计时功能,如厨房中的烹饪计时、运动场上的比赛计时等。
它不仅能提供精确的计时功能,还能根据用户需求提供多种定时和提醒功能。
本设计报告将详细介绍多功能计时器的功能、硬件设计以及软件设计。
二、多功能计时器的功能需求1.计时功能:提供从1秒到24小时的计时功能,能够显示倒计时时间或者当前计时时间,并能精确到毫秒级。
2.定时功能:能够设置定时开关功能,例如定时闹铃功能,在预定时间到达时触发报警器。
3.计次功能:能够记录计时的次数并显示。
4.报警功能:能够提供声音或者光提示以提醒用户计时完成或者时间到达。
5.显示功能:能够清晰显示计时器的各项参数,包括当前时间、计时时间、计次次数等。
三、多功能计时器的硬件设计1.主控芯片:使用低功耗、高性能的单片机作为主控芯片,例如STM32系列。
2.显示屏:使用液晶显示屏作为主要显示界面,能够清晰显示计时器的各项参数。
3.蜂鸣器:用于提供报警功能,能够发出清晰的声音以提醒用户。
4.按钮:用于用户操作,包括启动计时、暂停计时、重置计时等。
5.电源:使用电池供电,以方便携带和使用。
四、多功能计时器的软件设计1.系统初始化:在开机时进行系统初始化,包括设置计时参数、显示界面初始化等。
2.计时功能设计:包括开始计时、计时暂停、计时重置等功能。
3.定时功能设计:允许用户设置定时时间和计时时间到达时的操作。
4.计次功能设计:记录计时次数并在显示屏上显示。
5.报警功能设计:设定报警时间到达时发出声音或者光提示。
6.显示功能设计:在液晶显示屏上实时显示计时参数,包括计时时间、定时时间、计次次数等。
五、多功能计时器的测试与改进1.功能测试:对多功能计时器进行各项功能的测试,如计时、定时、报警、显示等功能的正确性测试。
2.性能测试:对多功能计时器的性能进行测试,如计时准确性、显示清晰性等。
3.用户测试:邀请用户测试多功能计时器的易用性和实用性,并根据用户反馈进行改进。
多功能秒表的设计
多功能秒表系统设计摘要根据相关的单片机材料,利用所学的单片机知识,编写能够实现该项目的软件程序,最后将软、硬件有机的结合起来,进行有效的调试,达到完成该实验课程设计的目的要求。
本设计的多功能秒表系统采用AT89C51单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、电源电路、LED数码管以及键盘电路来设计计时器。
将软、硬件有机地结合起来,使得系统能够实现两位LED显示,显示时间为00~99秒,每秒自动加1,能正确地进行加1,快加,可以同时记录4个相对独立的时间,通过翻页来查看这4个不同的计时值,可谓功能强大。
其中软件系统采用汇编语言编写程序,包括显示程序,加1,计数程序,快加程序,中断,延时程序等,并在WA VE中调试运行,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。
该系统具有显示直观﹑使用方便﹑可靠等优点在系统设计过程中考虑了性价比,选用性价比低﹑性能确定的元器件。
易于观察,在仿真中就可以观察到实际的工作状态。
该系统具有显示直观﹑使用方便﹑可靠等优点在系统设计过程中考虑了性价比,选用性价比低﹑性能确定的元器件。
目录1概述..................................................... - 2 -1.1 课程设计的要求................................................ - 2 -1.2 课程设计的目的意义............................................ - 2 -2 系统总体方案及硬件设计.................................. -3 -2.1设计思路及描述................................................. - 3 -2.2 硬件构成...................................................... - 3 -2.3 显示模块...................................................... - 5 -2.4 开关控制电路模块.............................................. - 6 -3 软件设计................................................. - 7 -3.1主程序......................................................... - 7 -3.2显示程序....................................................... - 8 -3.3开关控制程序................................................... - 8 -3.4记录翻页子程序................................................. - 9 -3.5暂停复位快加子程序............................................. - 9 -3.6中断和加1子程序.............................................. - 10 -4 Proteus软件仿真........................................ - 11 -4.1 单片机加载程序启动仿真后,按下开始键加1计数仿真.............. - 11 -4.2 单片机加载程序启动仿真后,按下暂停键时仿真图.................. - 11 -4.3 单片机加载程序启动仿真后,按下复位键时的仿真图................ - 12 -4.4 单片机加载程序启动仿真后,按下快加键时的仿真图................ - 12 -5 心得体会................................................ - 13 -参考文献.................................................. - 13 -附录...................................................... - 14 -1概述近年来随着科技的飞速发展,单片机的应用正在不断的走向深入,同时带动着传统控制检测技术的更新。
多功能计时器设计报告
南京理工大学EDA设计(Ⅱ)作者: 赵朋学号:0608190255 学院(系):动力工程学院专业: 电气工程及其自动化题目: 多功能计时器指导教师:谭雪琴、姜萍2009 年4 月17 日目录一、摘要关键词 (2)二.设计内容简介 (2)三.整体电路的工作原理.................. .. (3)1.设计原理框图 (3)2.单元电路设计及其电路图 (3)(1)秒脉冲发生电路的产生 (3)(2)计时电路 (5)(3)整点报时功能 (9)(4)星期功能的实现 (10)(5)动态译码显示 (11)(6)总电路组装 (13)四.下载编译 (13)五.实验中遇到的问题及解决方法 (14)六.实验心得和体会 (14)七.参考文献 (16)一、摘要本次EDA设计采用QuartusII软件模拟仿真多功能时钟,具有以下功能:数字钟计时显示24小时同时可以显示星期;在数字钟正常工作时可以对数字钟进行快速校时和校分,可以对其进行清零和保持;这个数字钟还具有整点报时功能。
AbstractIn this experiment,we made use of QuartusII to simlate an arithmetic clock,it has the following features: Digital Clock display 24 hours and it can display weeks; the digital clock can be quick inspected,timekeeping and cleared;the digital clock would alarm when integral hours.关键词时钟校时校分清零保持报时星期动态显示KeywordsClock Inspection hours Inspection minutes Cleared Timekeeping ring on time week dynamic display二、设计内容简介设计一个具有校时、校分、清零、保持和整点报时功能的数字钟。
多功能数字钟的设计报告
多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。
二、功能
1、设置时间和日期的功能。
2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。
3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。
4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。
5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。
三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。
1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。
2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。
3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。
电工电子综合实Ⅱ多功能数字计时器实验报告
南京理工大学电工电子综合实Ⅱ多功能数字计时器实验报告一、实验目的及内容1、实验目的(1)掌握常见集成电路工作原理和使用方法。
(2)学会单元电路设计与组合方法。
2、设计要求实现00:00到59:59的数字计时功能3、设计内容(1)设计实现信号源的单元电路。
(KHz,22,≈)3≈≈1≈1500FHzFFHzHz4,F1(2)设计实现00’00”—59’59”计时器单元电路。
(3)设计实现快速校分单元电路。
含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。
(4)加入任意时刻复位单元电路(开关K2)。
(5)设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。
二、设计电路的用途及原理简介数字计时器实际上是一个对标准频率(1HZ)进行计数的计数电路。
计时器一般由振荡器、分频器、计数器、译码器、显示器、校时电路、和报时电路组成。
振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。
秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间。
校分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响。
报时电路通过500Hz 或1kHz的信号和要报时的时间信号进行“与”的运算来实现的定点报时的。
各个信号“与”运算关系如下:f+ 59:594fH报时=(59:53+59:55+59:57)3f QH校分=秒进位Q +2H清零=复位+K2整体结构框图如下:图一:数字计时器逻辑框图三、电路所需元器件元件型号数量NE555 1片CD4040 1片CD4518 2片CD4511 4片74LS00 3片74LS20 1片74LS21 2片74LS74 1片电容0.047uf 1只电阻150Ω4只电阻1kΩ1只电阻3kΩ1只双字屏共阴显示器2块数字逻辑实验仪1台表一:元器件清单四、单元电路设计原理1、脉冲发生电路:(1)NE555:555集成定时器是一种将模拟和数字电路集成于一体的电子器件,使用十分灵活方便,只要外加少量的阻容元件,就能构成多用途的电路,故其在电子技术中得到了广泛的运用。
多功能数字钟的设计报告
多功能数字钟的设计报告一、设计任务使用硬件描述语言,在CPLD/FPGA上实现一个多功能数字钟。
二、设计要求除按键、LED、扬声器、时钟信号外,整个数字钟的功能要求在一块芯片上实现。
a)具有时,分,秒,计数显示功能,以24小时循环计时;b)具有时间清零功能;c)具有小时、分钟和秒钟调整功能(个位和十位分开调或合起来调)。
d)具有闹钟功能,能预设闹钟时间,精确到秒。
e)整个数字钟只设一个时钟输入端口,所需不同频率信号在内部分频实现。
(LED扫描频率设为50Hz以上)三方案I.总体方案分析:整个电路有三大主体电路:1. 控制电路,2.脉冲电路,3,功能电路时钟电路首先要有输入脉冲,由于平台提供了脉冲发生器,就省去了脉冲发生器的设计,这里我们只需要设计一个分频器,得到我们需要的频率。
时钟的计时范围是00:00:00---23:59:59,所以我们需要设计模六十和模二十四的计数器组成时钟计时电路。
为了显示当前时钟时间,我们需要一个显示电路。
校分、校时、清零电路只需要输入一些控制信号给时钟计时电路即可,当然这些控制信号是由开关提供的。
要实现整点报时功能,一个报时控制电路是必不可少的。
这是只含基本功能的时钟电路所包含的子电路。
为了实现我们加入的闹钟功能,我们需要一个闹钟时间设定电路;闹钟时间保持电路;比较电路;蜂鸣器控制电路;闹表时间显示电路。
因为我们只含有一个数码显示器,一般状态下显示的是时钟,所以我们需要一个显示模式切换电路。
module decoder_38(out,in);output[7:0] out;input[2:0] in;reg[7:0] out;always @(in)begincase(in)3'd0: out=8'b11111110;3'd1: out=8'b11111101;3'd2: out=8'b11111011;3'd3: out=8'b11110111;3'd4: out=8'b11101111;3'd5: out=8'b11011111;3'd6: out=8'b10111111;3'd7: out=8'b01111111;endcaseendendmodulemodule fenpinqi(CP,CP_1HZ,CP_1KHZ);input CP;output CP_1HZ,CP_1KHZ;reg CP_1HZ,CP_1KHZ;integer cnt=0,cnt1=0;always@(posedge CP)begin//cnt<=49999999if(cnt<=49999999)beginCP_1HZ<=1'b0;cnt=cnt+1;endelsebeginCP_1HZ<=1'b1;cnt=0;endendalways@(posedge CP)begin//cnt1<=4999if(cnt1<=4999)beginCP_1KHZ<=1'b0;cnt1=cnt1+1;endelsebeginCP_1KHZ<=1'b1;cnt1=0;endendendmodulemodulekongzhiqi( CP_1HZ,S1,S2,RET,Hour,Minute,Second);input CP_1HZ,S1,S2,RET;output [5:0] Hour;output [5:0] Minute;output [5:0] Second;reg [5:0] Hour;reg [5:0] Minute;reg [5:0] Second;reg R1,R2,R8;always @(posedge CP_1HZ)beginif(S1==0)beginR1=1;endif(S2==0)beginR2=1;endif(RET==0)beginR8=1;endif(R1==1)beginif(Hour<6'b11_000)Hour=Hour+6'b1;beginif(Hour==6'b11_000)Hour=0;endR1=0;endif(R2==1)beginif(Minute<6'b111_100)Minute=Minute+6'b1;if(Minute==6'b111_100)beginMinute=0;endR2=0;endif(Second<6'b1111_00)beginSecond=Second+6'b1;endif((R8==1)&&(CP_1HZ))//清零beginHour=0;Minute=0;Second=0;R8=0;endif((Hour==6'b101_11)&&(Minute==6'b1110_11)&&(Second==6'b1110_11)) beginHour=6'b0;Minute=6'b0;Second=6'b0;endif((Hour<6'b101_11)&&(Minute==6'b1110_11)&&(Second==6'b1110_11)) beginHour=Hour+6'b1;Minute=6'b0;Second=6'b0;endif((Minute<6'b1110_11)&&(Second==6'b1111_00))beginMinute=Minute+6'b1;Second=6'b0;endendendmodulemodulexianshiqi( CPout,Hour,Minute,Second,SEL,LEDAG );input CPout;input [5:0] Hour;input [5:0] Minute;input [5:0] Second;output [2:0] SEL;output [6:0]LEDAG;reg [2:0] SEL;reg [6:0] Led;reg [3:0] shiwei1,gewei1,shiwei2,gewei2,shiwei3,gewei3;always @(posedge CPout )beginshiwei1=Hour/10;gewei1=Hour%10;shiwei2=Minute/10;gewei2=Minute%10;shiwei3=Second/10;gewei3=Second%10;if(SEL==3'b000) //判断位选SEL的值,并将此位上的值输出到数码管case(shiwei1)4'b0000: Led = 7'b1000_000;4'b0001: Led = 7'b1111_001;4'b0010: Led = 7'b0100_100;4'b0011: Led = 7'b0110_000;4'b0100: Led = 7'b0011_001;4'b0101: Led = 7'b0010_010;4'b0110: Led = 7'b0000_010;4'b0111: Led = 7'b1111_000;4'b1000: Led = 7'b0000_000;4'b1001: Led = 7'b0010_000;default: Led = 7'b1111_111;endcaseif(SEL==3'b001)case(gewei1)4'b0000: Led = 7'b1000_000;4'b0001: Led = 7'b1111_001;4'b0010: Led = 7'b0100_100;4'b0011: Led = 7'b0110_000;4'b0100: Led = 7'b0011_001;4'b0101: Led = 7'b0010_010;4'b0110: Led = 7'b0000_010;4'b0111: Led = 7'b1111_000;4'b1000: Led = 7'b0000_000;4'b1001: Led = 7'b0010_000;default: Led = 7'b1111_111;endcaseif(SEL==3'b010)Led=7'b1111_111;if(SEL==3'b011)case(shiwei2)4'b0000: Led = 7'b1000_000;4'b0001: Led = 7'b1111_001;4'b0010: Led = 7'b0100_100;4'b0011: Led = 7'b0110_000;4'b0100: Led = 7'b0011_001;4'b0101: Led = 7'b0010_010;4'b0110: Led = 7'b0000_010;4'b0111: Led = 7'b1111_000;4'b1000: Led = 7'b0000_000;4'b1001: Led = 7'b0010_000;default: Led = 7'b1111_111;endcaseif(SEL==3'b100)case(gewei2)4'b0000: Led = 7'b1000_000;4'b0001: Led = 7'b1111_001;4'b0010: Led = 7'b0100_100;4'b0011: Led = 7'b0110_000;4'b0100: Led = 7'b0011_001;4'b0101: Led = 7'b0010_010;4'b0110: Led = 7'b0000_010;4'b0111: Led = 7'b1111_000;4'b1000: Led = 7'b0000_000;4'b1001: Led = 7'b0010_000;default: Led = 7'b1111_111;endcaseif(SEL==3'b101)Led=7'b1111_111;if(SEL==3'b110)case(shiwei3)4'b0000: Led = 7'b1000_000;4'b0001: Led = 7'b1111_001;4'b0010: Led = 7'b0100_100;4'b0011: Led = 7'b0110_000;4'b0100: Led = 7'b0011_001;4'b0101: Led = 7'b0010_010;4'b0110: Led = 7'b0000_010;4'b0111: Led = 7'b1111_000;4'b1000: Led = 7'b0000_000;4'b1001: Led = 7'b0010_000;default: Led = 7'b1111_111;endcaseif(SEL==3'b111)case(gewei3)4'b0000: Led = 7'b1000_000;4'b0001: Led = 7'b1111_001;4'b0010: Led = 7'b0100_100;4'b0011: Led = 7'b0110_000;4'b0100: Led = 7'b0011_001;4'b0101: Led = 7'b0010_010;4'b0110: Led = 7'b0000_010;4'b0111: Led = 7'b1111_000;4'b1000: Led = 7'b0000_000;4'b1001: Led = 7'b0010_000;default: Led = 7'b1111_111;endcaseSEL = SEL + 3'd1;endassignLEDAG=Led;endmodule。
多功能数字钟课程设计报告
电子时钟课程设计报告班级:文通 0741姓名:***学号:************多功能数字钟课程设计报告一、课程设计题目: 多功能数字钟二、实验目的:☆了解多功能数字电子钟的工作原理。
☆学习数字系统设计中自顶向下的设计方法。
☆加深利用EDA技术实现数字系统的理解。
三、课程设计任务和基本要求:☆设计任务采用中规模集成电路设计一台可以显示时、分、秒的数字钟。
☆基本要求1、能够正确的连线及下载。
2、能够完成以秒为最小及时单位的时钟设计。
3、设计完成后的时钟能够正常调整时、分、秒。
三、课程设计题目分析:☆设计要点●设计一个精确的秒脉冲信号产生电路●设计60进制、24进制计数器●设计译码显示电路●设计整点报时电路☆工作原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。
将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计数器,可以实现一天24h的累计。
译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来。
整点报时电路是根据计时系统的输出状态产生一个脉冲信号,然后去触发音频发生器实现报时。
校时电路是来对“时、分、秒”显示数字进行校对调整。
其数字电子钟系统框图如下:四、课程设计的电路设计部分:☆秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。
实现这两种模数的计数器采用中规模集成计数器74LS90构成。
●60进制计数器由74162构成的60进制计数器,将一片74162设计成10进制加法计数器,另一片设置6进制加法计数器。
百度多功能秒表的设计
多功能秒表的设计摘要本设计是通过使用单片机80C51来设计一个单片机控制的秒表系统。
利用单片机的定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及按键来设计计时器。
将软、硬件有机地结合起来,使得系统能够正确地进行加、减(倒)计时,数码管能够正确地显示时间。
在设计中定时器1用做1秒定时,从而实现每秒加1。
定时器0用做10毫秒定时,从而实现每10毫秒快加1。
中断0用做暂停键使用,中断1用做停止键使用。
该秒表可以每秒加一,每秒减一,快加1,快减1,独立存储四个数据并能进行查询。
在硬件设计中由于所需按键比较少,所以采用独立连接式电路,使用P0和P2口直接对两个数码管发送数据,在使用P0口时,由于输出级为漏级开路电路,若要驱动NMOS或其他拉电流负载时,引脚上应外接上拉电阻。
用软件法消除来抖动,LCD数码管采用共阴极的接法。
复位电路采用上电或开关复位电路,在电源接通后,单片机自动复位,并且在单片机运行期间,用开关操作也能使单片机复位。
时钟信号采用内部时钟法,在引脚XTAL1和XTAL2外接晶体振荡器(简称晶振)或陶瓷谐振器,就构成了内部振荡方式。
由于单片机内部有一个高增益反相放大器,当外接晶振后,就构成了自激振荡器并产生振荡时钟脉冲。
目录1 概述 (2)1.1 设计意义 (2)1.2 设计任务 (2)1.3主要功能 (2)2 系统总体设计及硬件设计 (3)2.1单片机的设计 (3)2.2 电源 (3)2.3 钟及复位电路 (3)2.4 显示及键盘 (5)3 软件设计 (6)3.1主程序 (6)3.2设置子程序: (9)3.3加减1子程序 (11)3.4 快加减子程序 (14)4 PROTEUS软件仿真 (17)5 课程设计体会 (18)参考文献 (18)附1 源程序代码 (20)附2 秒表系统电气图 (26)1概述1.1 设计意义1、通过设计使学生进一步熟悉和掌握单片机的内部结构和工作原理,了解单片机应用系统设计的基本方法和步骤;2、通过利用MCS-51单片机,理解单片机在自动化仪表中的作用以及掌握单片机的编程方法;3、通过设计一个简单的计算器数字输入及显示模拟系统,掌握单片机仿真软件Proteus的使用方法;4、掌握键盘和显示器在的单片机控制系统中的应用。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
南京理工大学EDA设计(Ⅱ)作者: 赵朋学号:0608190255 学院(系):动力工程学院专业: 电气工程及其自动化题目: 多功能计时器指导教师:谭雪琴、姜萍2009 年4 月17 日目录一、摘要关键词 (2)二.设计内容简介 (2)三.整体电路的工作原理.................. .. (3)1.设计原理框图 (3)2.单元电路设计及其电路图 (3)(1)秒脉冲发生电路的产生 (3)(2)计时电路 (5)(3)整点报时功能 (9)(4)星期功能的实现 (10)(5)动态译码显示 (11)(6)总电路组装 (13)四.下载编译 (13)五.实验中遇到的问题及解决方法 (14)六.实验心得和体会 (14)七.参考文献 (16)一、摘要本次EDA设计采用QuartusII软件模拟仿真多功能时钟,具有以下功能:数字钟计时显示24小时同时可以显示星期;在数字钟正常工作时可以对数字钟进行快速校时和校分,可以对其进行清零和保持;这个数字钟还具有整点报时功能。
AbstractIn this experiment,we made use of QuartusII to simlate an arithmetic clock,it has the following features: Digital Clock display 24 hours and it can display weeks; the digital clock can be quick inspected,timekeeping and cleared;the digital clock would alarm when integral hours.关键词时钟校时校分清零保持报时星期动态显示KeywordsClock Inspection hours Inspection minutes Cleared Timekeeping ring on time week dynamic display二、设计内容简介设计一个具有校时、校分、清零、保持和整点报时功能的数字钟。
对数字钟采用层次化自顶向下的方法进行设计,要求设计层次清晰、合理;构成整个设计的功能模块既可以采用原理图输入法实现,也可采用文本输入法实现。
基本要求:1、能进行正常的时、分、秒计时功能;2、分别由六个数码管显示时分秒的计时;3、K1是系统的使能开关(K1=0正常工作,K1=1时钟保持不变)4、K2是是系统的清零开关(K2=0正常工作,K2=1时钟的分、秒全清零);5、K3是系统校分开关(K3=0正常工作,K3=1时可以快速校分);6、K4是系统校时开关(K4=0正常工作,K4=1时可以快速校时);设计提高部分要求1、使时钟具有整点报时功能当时钟计到59’53”时开始报时,在59’53”, 59’55”,59’57”时报时频率为500Hz, 59’59”时报时频率为1KHz;2、显示星期功能显示一周七天,数码管显示1—6分别表示周一至周六,周日显示数字8。
三、整体电路的工作原理1.设计原理框图2.单元电路设计及其电路图(1)秒脉冲发生电路的产生系统提供的为48MHZ的脉冲,所以我们要将48MHZ的信号24*1000*1000*2分频产生秒脉冲。
首先,将48MHZ进行24分频。
得到2MHZ,进行两次千分频和一次二分频就得到秒脉冲。
1、2分频电路图1 2分频电路原理图其模拟波形如下:图2 2分频电路模拟波形2、8分频电路利用3个2分频器串联便可得出8分频电路其模拟波形如下:图4 8分频电路模拟波形3、24分频电路设计1个3分频电路,其时钟输入端接8分频器便构成24分频器图5 24分频电路原理图其波形如下:图6 24分频电路模拟波形4、1000分频计数器图7 1000分频电路原理图其波形如下:图8 1000分频电路模拟波形5、秒信号发生器及数字钟各频率生成电路图9 1HZ、512HZ、1kHZ频率发生电路原理图(2)计时电路计时电路的主体部分由两个模60和一个模24构成,在秒60时钟输入端接1HZ信号,计数脉冲满60向分60进位,同理分60计数脉冲满60时向模24提供一个进位信号。
当计数器走到23:59:59时,下一脉冲两个模60和模24同时置零,完成一天的计时。
1、秒60计数器秒计数器的时钟端的信号为1HZ的秒脉冲信号,采用同步计数方式,输出为m60[7]~m60[0]。
因为秒的最大输出为59,当m60[7] ~m60[4]为0101且m60[3] ~m60[0]为1001时(即59秒时),再来一个时钟上升沿要变为0000,所以此时只要两块74160将DCBA置为0000,且将m60[6]、m60[4]、m60[3]、m60[0]与非后的信号送到置数使能端LD上即可。
图10 秒60计数电路原理图2、分60计数器分60的设计理念与秒60的基本相似,秒向分的进位信号作为其使能信号,时钟端仍为1hz的秒脉冲。
采用同步的方式。
但是分向时的进位信号不仅要满足59分还要满足59秒的条件。
即分60计时器中m60[7]~m60[0]为01011001(即59分),秒计时器中m60[7]~m60[0]也应为为01011001(即59秒)时,将分60计时器中的m60[6]、m60[4]、m60[3]、m60[0]和秒60计数器的进位信号相与后的信号送到下面h24计时模块的使能端,取反后送到置数使能端LD 上。
图12 分60计数电路原理图图13 分60计数电路模拟波形3、 时24计数器如同分24计数电路,分向时的进位信号作为其使能信号,时钟端仍为1hz 的秒脉冲,采用同步的方式。
当计时器记到23:59:59时,模24置零。
则需满足时24计时器中m24[7]~m24[0]为00100011,分60计时器中m60[7]~m60[0]为01011001(即59分),秒计时器中m60[7]~m60[0]也应为为01011001时(即59秒)时,将时24计时器中的m24[5]、m24[1]、、m24[0]和分60计数器的进位信号相与非后送到置数使能端LD 上。
图14 时24计数电路原理图图15 时24计数电路模拟波形4、 计时总电路将秒60计时器的进位信号接分60计时器使能端,分60计时器进位信号接事24计时器使能端,从而将秒60计时模块、分60计时模块、时24计时模块级联级联起来,共同构成总的计时电路。
三模块时钟信号端均接在1hz 脉冲信号端,采用同步计数方式。
同时将进位信号与置反后的外部输入信号相或,接至上级电路的使能端,其中外部输入信号即为校时、校分信号。
而给三模块的清零端接一共同输入端即可充当清零开关。
图16 计时总电路原理图(3)整点报时功能按照电路的设计要求,从59分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即59分53秒,59分55秒,59分57秒发出低音,59分59秒发出高音。
首先来看一下这些时刻三个计数器的状态min[7]~min[0], sec[7]~sec[0]: 0101100101010011 -0101100101010101 -0101100101010111-0101100101011001报时频率分别512 Hz 512Hz 512Hz 1KHz可以看出分钟位和秒十位在四个状态中时固定的,即min[6]min[4]min[3]min[0]sec[6]sec[4]=111111,而秒个位的四位可以通过卡诺图的化简来得逻辑函数。
00 01 10 1100011011由此可记512hz为 min[6]*min[4] *min[3] *min[0] *sec[6] *sec[4] * sec[0]*(sec[1] +sec[2])而1khz为min[6]*min[4] *min[3] *min[0] *sec[6] *sec[4]* sec[3]*sec[0]17 整点报时电路原理图(4)星期功能的实现参照计时电路的设计,添加星期功能只需设计一模7计数器,从时24计数器上接出一进位信号接该模7计数器的使能端,便可实现星期功能。
由于星期计数周一至周六由数字1~6替代,而周日则由8来表示,故该模7计数器需实现1~6再至8的计数。
在设计该模7计数器时,我们可采用一片74161分时置位的方式来实现。
当计数器记到6时,下一脉冲给计数器置8(1000),然后接着给计数器置1(0001),如此反复循环。
由两预置数可以看出CB 两位始终为0故可直接置零,而A 、D 的取值可由QD 来决定,当QD=1,计数为8,则置数1,DCBA=0001;当QD ≠1,置数8,DCBA=1000,因此,可将QD 端直接A ,取反后接D ,便可实现1~6在至8的计数。
图18 星期功能电路原理图图19 模7计数器电路模拟波形(5)动态译码显示实际设计的电路是让六个数码管以1KHZ 的频率快速的轮流显示,但由于人眼的视觉暂留看上去六个数码管就是同时显示。
我们用1khz 来控制计数器,使它快速计数。
用计数器控制数据选择器,选出四位8421BCD 码。
每个计数状态对应显示一个数码管。
位码的产生:为了实现动态显示,必须轮流选通6个数码管,这通过由74160构成的模6计数器实现,模6计数器产生的输出给74138,译码产生位码。
例如计数器输出状态为000时,选通秒个位;计数器输出状态为001时,选通秒十位。
(在这里我们将其扩展到七个,第七个数码管显示星期)段码的产生:四个7选一数据选择器,在模7的计数器输出状态aa 、bb 、cc 的控制下逐个选出秒个位,秒十位,分个位,分十位,时个位,时十位,星期在数码管段码上显示。
图20 动态译码显示电路原理图(6)总电路组装将秒脉冲发生电路、计时总电路、报时电路、动态译码显示电路按要求连接起来,构成完整的数字钟。
图21 数字钟总电路原理图四、下载编译将all.bdf文件置顶,进行全程编译,结果如下:进行管脚的分配:选择“assignments”中“ pins”管脚分配如下:点击下载图标,设置下载接口完成后将程序下载到实验平台上,验证各项功能。
五、试验中遇到的问题及解决方案本次设计整体上还算较为顺利,只是在59分进位时出现了一点问题。
刚开始设计的时钟一计到59分00秒就立刻跳至下一个小时,实际应该59分59秒才能跳转,这样每个小时就少计了一分钟,经过仔细检查电路后发现分60计时电路中将m60[6]m60[4]m60[3]m60[0]相与后直接接至了进位端,取反后接至置位端,这样就导致了,只要满足m60[6]m60[4]m60[3]m60[0]=1111(即59分)时,分60计时器进直接进位并清零。
在给m60[6]m60[4]m60[3]m60[0]加一从秒60计时器进来的进位信号相与后在接进位端,取反后接置数端,问题得到很好的解决。