延时可控高压脉冲发生器的设计
一种基于CPLD控制的小型化高压脉冲发生器_穆丽娟

方案设计
qs5. . qs1 node istype reg ; / / 去抖动寄存器 duty,duty1 ,duty5 ,duty20 node; / / 占空比中间变量 Sout aa1 bb1 aa2 bb2 A1 A2 B1 B2 / / constants c,x = . c. ,. x. ; Q = [ q10. . q0 ]; equations [ q15. . q0 ] : = [ q15. . q0] . fb + 1 ; / /16 bit 计数器 [ q15. . q0] . clk = clk0 ; [ qs5. . qs1 ] : 抖动 [ qs5. . qs1] . clk = q15. fb; duty = ( Q > = 0 ) && ( Q < = 5 ) ; bb2 = duty; aa1 : = bb2. com; aa1. clk = ! clk0 ; duty1 = ( Q > = 21 ) && ( Q < = 26 ) ; / / 1% duty5 = ( Q > = 102 ) && ( Q < = 107 ) ; / /5% duty20 = ( Q > = 410 ) && ( Q < = 415 ) ; / /20% Sout = duty1 & qs1. fb / / 0% / / 1% / / 5% / / 20% / / 100% # duty1 & qs2. fb # duty5 & qs3. fb # duty20 & qs4. fb # duty1 & qs5. fb; aa2 = Sout; bb1 : = aa2. com; bb1. clk = ! clk0 ; A2 = aa2. fb & ( qs1. fb # qs2. fb # qs3. fb # qs4. fb ) ; / / 输出低 B1 = bb1. com & ( qs1. fb # qs2. fb # qs3. fb # qs4. fb) ; / / 输出低 A1 = aa1. fb & ( qs5. fb # qs2. fb # qs3. fb # qs4. fb ) ; / / 输出高 B2 = bb2. com & ( qs5. fb # qs2. fb # qs3. fb # qs4. fb ) ; / / 输出高
高压皮秒脉冲发生器的设计与实现

高压皮秒脉冲发生器的设计与实现高压皮秒脉冲发生器是一种用于产生高能量、高压、短脉冲的装置。
它在科学研究、工业应用和医疗领域都有重要的应用价值。
本文将介绍高压皮秒脉冲发生器的设计与实现,以及其在不同领域的应用。
高压皮秒脉冲发生器主要由高压电源、充电电路、储能电容、脉冲发生电路和输出负载等组成。
首先,高压电源是整个系统的核心组件,用于提供高压电源稳定可靠的电源。
其次,充电电路将电源输出的直流电压转化为脉冲电压,并通过控制充电时间和电流来实现对储能电容的快速充电。
储能电容是存储电能的装置,其容量越大,蓄能能力越强。
脉冲发生电路控制储能电容的放电过程,使得电容的电能以短脉冲的形式释放出来。
最后,输出负载是将脉冲能量传递给被测对象或其他设备的载体。
高压皮秒脉冲发生器的实现需要考虑以下几个关键问题。
首先,电源的选择和设计是非常重要的,需要满足高压、高能量、高稳定性等要求。
其次,充电电路的设计需要考虑充电速度和充电电流的控制,以保证储能电容能够快速充电并保持稳定。
第三,脉冲发生电路的设计需要考虑脉冲宽度、重复频率和输出电压的控制,以满足不同应用场景的需求。
最后,输出负载的选择和匹配需要根据具体应用来确定,以确保脉冲能量能够有效传递到被测对象或其他设备上。
高压皮秒脉冲发生器在科学研究领域有广泛的应用。
例如,在物理学中,它可以用于产生高能量的电子束或离子束,用于材料表面改性、微纳加工和材料分析等研究。
在化学领域,它可以用于产生高能量的化学反应,用于催化剂的制备和新材料的合成等研究。
在生物医学领域,它可以用于产生高能量的激光脉冲,用于皮肤美容、纹身去除和眼科手术等治疗。
高压皮秒脉冲发生器在工业应用中也有重要的作用。
例如,在电子器件制造中,它可以用于产生高能量的离子束,用于清洗、刻蚀和改性等工艺。
在材料加工中,它可以用于产生高能量的激光脉冲,用于焊接、切割和打孔等加工。
在电力系统中,它可以用于产生高能量的电磁脉冲,用于保护电力设备和系统。
PLC用于智能控制高压脉冲发生器设计

PLC用于智能控制高压脉冲发生器设计李巨;冯莉;张志强;邓云华【摘要】为了更好地满足复杂试验系统中对仪器智能化控制的要求,设计了一种基于PLC(可编程逻辑控制器)控制的智能化高压脉冲发生器.采用模块化设计结构,使用VB、Easy-Builder和STEP 7 MicroWIN作为编程语言,开发了PLC与触摸屏和上位机之间的控制程序,利用可编程逻辑控制器调节高压脉冲发生器的输出脉冲幅度,控制高压脉冲发生器实现触发、复位等功能.可通过触摸屏和上位机对仪器进行本地和远程操作,实时调节和读取仪器的状态,高压脉冲发生器具有较好的操控性和人机交互性,实现了仪器的智能化.【期刊名称】《自动化与仪表》【年(卷),期】2014(029)009【总页数】4页(P10-13)【关键词】可编程逻辑控制器;高压脉冲发生器;智能控制【作者】李巨;冯莉;张志强;邓云华【作者单位】中国工程物理研究院流体物理研究所,绵阳 621900;中国工程物理研究院流体物理研究所,绵阳 621900;中国工程物理研究院流体物理研究所,绵阳621900;中国工程物理研究院流体物理研究所,绵阳 621900【正文语种】中文【中图分类】TP23高压脉冲发生器作为一种常用的仪器,被广泛应用于科学研究及生产的各个领域。
由于高压脉冲发生器在使用过程中伴随着高压脉冲输出(通常为几千伏到几十千伏,甚至上百千伏)会存在很强的的电磁干扰,常规的单片机类智能控制器件较难适应这种强电磁干扰的场合,运用时需要采取比较复杂的抗电磁干扰措施。
因此,一直以来多数高压脉冲发生器仍为独立运行方式,没有采用自动控制技术。
而另一方面,经常可以看到,在进行某些科学研究、试验时往往是许多仪器协同工作,如要提高效率和准确性,实现测控的自动化,就必须对仪器的智能化提出要求。
本文介绍一种采用可编程逻辑控制器PLC作为智能控制部件的高压脉冲发生器的设计方法,其相应的控制技术也具有广泛的适用性。
FPGA的数字脉冲延时发生器设计

敬请登录网站在线投稿(t o u g a o.m e s n e t.c o m.c n)2021年第2期79F PG A的数字脉冲延时发生器设计陈泽洋(天津大学理学院,天津300072)摘要:本文主要介绍了一种数字式脉冲延时发生器的设计方法,该方案是基于A l t e r a(被I n t e l收购)的C y c l o n e I V系列F P G A实现的,为了同时满足高延时分辨率与大的可调范围,采用了粗细结合的延时方法,粗延时通过计数器法实现,细延时通过A D9501专用延时芯片实现;为了让用户与系统进行通信,在F P G A内部构建了N i o s I I软核处理器,并且编写软件程序实现人机交互㊂对系统的关键模块进行了仿真,仿真结果显示模块设计符合需求,最终延时系统可以实现精度为16.5p s㊁可调范围约为1.1s的延时㊂关键词:F P G A;A D9501;延脉冲发生时器;N i o s I I中图分类号:T N7文献标识码:AD e s i g n o f D i g i t a l P u l s e D e l a y G e n e r a t o r B a s e d o n F P G AC h e n Z e y a n g(S c h o o l o f S c i e n c e,T i a n j i n U n i v e r s i t y,T i a n j i n300072,C h i n a)A b s t r a c t:I n t h e p a p e r,a d e s i g n m e t h o d o f d i g i t a l p u l s e d e l a y g e n e r a t o r i s d e s i g n e d,w h i c h i s b a s e d o n A l t e r a's c y c l o n e I V s e r i e s F P G A.I n o r d e r t o m e e t t h e r e q u i r e m e n t s o f h i g h d e l a y r e s o l u t i o n a n d l a r g e a d j u s t a b l e r a n g e,c o m b i n e t h e c o a r s e d e l a y a n d f i n e d e l a y,t h e c o a r s e d e-l a y i s r e a l i z e d b y c o u n t e r m e t h o d,a n d t h e f i n e d e l a y i s r e a l i z e d b y A D9501s p e c i a l d e l a y c h i p.I n o r d e r t o e n a b l e u s e r s t o c o mm u n i c a t e w i t h t h e s y s t e m,N i o s I I s o f t c o r e p r o c e s s o r i s b u i l t i n F P G A,a n d s o f t w a r e p r o g r a m i s w r i t t e n t o r e a l i z e h u m a n-c o m p u t e r i n t e r a c t i o n.T h e k e y m o d u l e s o f t h e s y s t e m a r e s i m u l a t e d,a n d t h e s i m u l a t i o n r e s u l t s s h o w t h a t t h e m o d u l e d e s i g n m e e t s t h e r e q u i r e m e n t s.T h e f i n a l d e l a y s y s t e m c a n a c h i e v e a d e l a y a c c u r a c y o f16.5p s a n d a d y n a m i c r a n g e o f1.1s.K e y w o r d s:F P G A;A D9501;p u l s e d e l a y g e n e r a t o r;N i o s I I0引言脉冲延时发生器作为一种时序同步装置在激光系统[1-2]㊁雷达系统[3]㊁飞行时间质谱仪[4-5]等领域应用广泛,它可以对触发信号进行延时后输出脉冲信号,用户可以设定延时的大小㊂延时的分辨率与延时的可调范围是衡量其性能的两个重要参数,可以实现脉冲延时的方法有计数器法㊁存储器法[6]㊁专用延时芯片[7]等方法㊂计数器法与存储器法可以实现可调范围比较大的延时,不过延时精度由于受到时钟频率的限制只能做到纳秒量级,专用延时芯片法的延时精度可以做到皮秒量级,不过可调范围在微秒量级㊂F P G A是一种可编程逻辑器件,用户可以通过V e r i l o g H D L等设计文件在F P G A内部设计所需要的逻辑电路,与A S I C相比具有设计周期短㊁设计灵活等优点㊂本文介绍的延时脉冲发生器以A l t e r a(被I n t e l收购)的C y c l o n e I V系列F P G A为核心,采用粗细结合的延时方案,并且利用N i o s I I软核处理器用于系统控制,实现了脉冲延时发生器的设计㊂1基于F P G A的脉冲延时发生器整体设计延时系统的工作模式分为内触发模式与外触发模式㊂系统的设计框图如图1所示㊂工作在内触发模式时,触发信号由系统内部产生,用户可以设定触发信号的频率大小㊂工作在外触发模式时,触发信号由外部提供㊂整个延时系统的延时由粗延时部分和细延时部分组成,粗延时利用计数器法实现㊂计数器法的延时分辨率由采样时钟决定,本系统的采样时钟通过将外部50MH z晶振倍频得到,最终倍频数由系统能运行的最大时钟频率决定㊂细延时是利用A D9501实现的,A D9501通过8位控制字对单位粗延时进一步细分,得到16.5p s延时分辨率㊂P C机通过C P2102串口桥接芯片将设定的延时信息发送给N i o s I I软核处理器,延时信息包含用户设定的粗延时以及细延时,N i o s I I将粗延时信息经由P I O I P核传递到粗延时模块,细延时信息被转换成8位控制字,输出80M i c r o c o n t r o l l e r s &E m b e d d e d S ys t e m s 2021年第2期w w w .m e s n e t .c o m .c n图1 脉冲延时发生器的整体设计框图到A D 9501对其细延时进行控制㊂触发信号依次经过粗延时模块与A D 9501模块最终实现脉冲延时㊂其中内触发源模块㊁粗延时模块㊁N i o s I I 模块是在一块F P G A 内部㊂2 内触发源模块设计内触发源模块的作用是在内触发模式下提供触发信号㊂该模块的时序图如图2所示㊂图2 内触发源模块时序图c l k 为输入模块的时钟信号,d i v 为用户设定的分频数,c o u n t e r 为对时钟信号进行计数的计数器,o u t p u t 为最终输出的触发信号㊂当时钟信号到来时,启动计数器对时钟信号进行计数㊂当计数器计数的值等于d i v 时,将输出信号取反,同时计数器置零;当计数器的值不等于分频数时,输出保持不变,计数器持续对时钟信号进行计数㊂用户通过设置d i v 的值改变计数器的计数值,进而控制输出信号的跳变,从而达到对时钟信号进行分频的目的㊂3 粗延时模块设计粗延时模块负责对外部触发信号进行粗略延时,然后将延时后的脉冲输出到A D 9501进行细延时㊂该模块由输入时钟信号c l k ㊁触发信号t r i ㊁两个计数器p u l s e 1和pu l s e 2㊁两个计数器c o u n t e r 1和c o u n t e r 2组成㊂A D 9501的内部有一个斜波发生器,每次触发信号到来之后斜波发生器电压从0开始上升,当斜波发生器电压值与D A C 设定值相等时,拉高延时输出引脚电平,该斜波电压的置零是通过复位引脚实现的,拉复位引脚时,斜波发生器进行复位,如果不对其进行复位,则当下一个脉冲到来时,斜波发生器的电压是大于D A C 电压的,延时输出一直处于高电平状态,所以不能输出延时脉冲㊂由此可知,粗延时模块应该输出两个脉冲给A D 9501,分别为pu l s e 1和p u l s e 2,一个用于触发细延时,另一个用于将A D 9501斜波发生器置零㊂通过设定p u l s e 1与p u l s e 2之间的时间间隔就可以控制延时脉冲的脉宽大小㊂c o u n t -e r 1和c o u n t e r 2分别是延时计数器和脉宽计数器,通过延时控制字d e l a y 和脉宽控制字w i d t h 控制计数器计数到多少时输出脉冲信号,该模块的时序如图3所示㊂经过实验得知粗延时模块能够运行的最大时钟为240MH z,则粗延时分辨率为1/240MH z =4.2n s ,c o u n t e r 的位数设为28位,则最大延时为4.2n s ˑ228ʈ1.1s㊂图3 粗延时模块时序图4 细延时模块设计细延时模块是以A D 9501为核心实现的,它是在粗延时的分辨率基础上进一步细分实现更高分辨率的延时,A D 9501通过8位控制字对4.2n s 进行细分,则细分后的延时分辨率为4.2n s /28-1=16.5n s ㊂A D 9501的最小延迟(t P D )是触发电路延迟㊁斜坡发生器延迟和比较器延迟的总和㊂触发电路延迟和比较器延迟是固定的,斜坡发生器延迟是受线性斜坡变化率和偏移电压值影响的㊂最大延迟是t P D 和满量程(t D F S )的总和㊂斜坡发生器延迟是斜坡从其重置电压转换到D A C 电压所需的时间,D A C 电压由用户通过数字输入进行编程㊂满量程延迟(t D F S )由电容C e x t 和电阻R s e t 决定,范围为2.5n s ~10μs ,它们的关系如下:t D F S =R s e t ˑ(C e x t +8.5p F )ˑ3.84当满量程延时小于等于326n s 时,接C e x t 的引脚应当悬空;当满量程延时超过326n s 时,C e x t 引脚与电源之间可以最大加500p F 的电容㊂R s e t 的取值范围为50Ω~10k Ω㊂本设计想要实现满量程4.2n s 的延时,R s e t 大小应设置为128Ω,接C e x t 的引脚悬空㊂5 N i o s I I 控制模块设计从经济与系统复杂程度方面考虑,选用N i o s I I 软核处理器来实现P C 机对于系统的控制㊂N i o s I I 需要实现的功能为从P C 接收用户设定的延时值,然后将延时值发送给延时模块㊂利用Q s ys 自带I P 核可以实现加速设计,敬请登录网站在线投稿(t o u ga o .m e s n e t .c o m.c n )2021年第2期81图4 控制模块程序流程图实现数据接收与传输所需添加的主要I P 核有U A R T 与P I O ㊂P C 通过C P 2102将数据发送到U A R T I P 核的读寄存器,U A R T I P 核的r x d a t a寄存器接收到数据,使能读取中断,并将读取的数据在中断服务子程序中赋值给P I O ,就实现了P C 对延时系统的控制,图4为控制模块的程序流程图㊂6 关键模块仿真结果本设计的两个关键模块为内触发源模块与粗延时模块,编写测试文件对两个模块分别进行仿真,内触发源模块的仿真结果如图5所示㊂图5中信号从上到下依次是时钟信号c l k㊁复位信号r s t ㊁分频数d i v ㊁计数器c o u n t e r ㊁输出信号o u t ㊂可以看出,当分频数依次为0㊁1㊁2㊁3时,输出信号o u t 分别经过1个时钟周期㊁2个时钟周期㊁3个时钟周期㊁4个时钟周期发生电平的跳变,因此仿真结果表明,可以通过分频控制字d i v 来控制输出信号的分频数㊂粗延时模块的仿真结果如图6所示㊂图中信号从上到下依次是时钟信号c l k ㊁复位信号r s t ㊁触发信号t r㊁延时计数器c o u n t ㊁脉宽计数器c o u n t 1㊁延时控制字d e l a y㊁脉宽控制字w i d t h ㊁延时脉冲信号p u l s e 1㊁脉宽控制信号pu l s e 2㊂从仿真结果可以看出,当延时控制字为13㊁脉宽控制字为4时,触发信号到来之后的13个时钟信号输出p u l s e 1,pu l s e 1之后4个时钟信号输出p u l s e 2,该模块的设计符合需求㊂图5内触发源模块仿真结果图6 粗延时模块仿真结果7 性能比较将计数器法㊁专用延时芯片法㊁本设计的方法从可调范围㊁延时分辨率㊁功能扩展三个方面进行比较,结果如表1所列㊂表1 三种延时方法比较延时方法可调范围延时分辨率功能扩展计数器法m s ,s 量级n s 量级无法功能扩展专用延时芯片μs 量级ps 量级无法功能扩展本设计s 量级ps 量级便于功能扩展由表1中可知,本设计方法在保持计数器法与专用延时芯片方法优点的同时弥补了两种方法各自的不足,并且基于F P G A 灵活的特点,可以通过设计逻辑电路与编写N i o s I I 软核模块程序进行再次开发,以满足其他系统集成或者功能升级的需求㊂8 结 语目前国内的商用脉冲延时发生器主要依赖国外进口,价格一般在几万元到十几万元不等,价格昂贵并且性价比不高,本设计的脉冲延时发生器在满足高精度与大的可调范围的同时,成本方面也有很大的优势,整个系统制作成本仅为400元左右㊂在当今环境下,自主设计的脉冲延时发生器在商业与科技领域均具有重要意义㊂参考文献[1]蒋军敏,刘进元,刘百玉,等.用于激光打靶装置中的85敬请登录网站在线投稿(t o u ga o .m e s n e t .c o m.c n )2021年第2期85节的非线性特性耗费时间,无论系统的非线性多严重,均能按特定的关系进行转换㊂经数值分析将优化后的数据代入式(1)及联立式(1)和式(7),线性补偿前后物体位移y 和像点位移x 的关系如图6所示㊂y =270192x14216.256-398.32x+e d(8)图6 线性补偿前后物体位移y 和像点位移x 的关系5 结 语本文从反射式激光三角法测量原理公式㊁测量范围㊁分辨率及结构参数优化出发,用工程软件MA T L A B 对系统的各个参数之间的约束关系进行了分析与优化,使得参数优化过程得以简化,同时在实际中可根据器件因素和测量范围的要求不同随时改变,提高了设计的灵活性,降低了设计初期的费用,最后通过数值分析了造成物体位移与像点位移呈非线性的原因,并用线性补偿的方法使物体位移与像点位移线性输出,从而提高了测距传感器线性度与精度,降低了系统误差,实现了高精度大位移的测量㊂参考文献[1]王晓嘉,高隽,王磊.激光三角法综述[J ].仪器仪表学报,2004(S 2):601604,608.[2]L a r s L i n d n e r ,O l e g S e r g i y e n k o ,J u l i o C R o d r ígu e z Q u i ño n e z ,e t a l .M o b i l e r o b o t v i s i o n s y s t e m u s i n g c o n t i n u o u s l a s e r s c a n n i n gf o r i n d u s t r i a l a p pl i c a t i o n [J ].T h e I n d u s t r i a l R o b o t ,2016,43(4):360369.[3]S u Z h i q i ,H e Q i n g,X i e Z h i .I n d i r e c t m e a s u r e m e n t o f m o l t e n s t e e l l e v e l i n t u n d i s h b a s e d o n l a s e r t r i a n g u l a t i o n [J ].T h e R e -v i e w o f s c i e n t i f i c i n s t r u m e n t s ,2016,87(3):035117.[4]宋腾,张凤生,任锦霞.直射式激光位移传感器光束入射角对测量精度的影响[J ].机械,2017,44(2):3741.[5]Z h u a n g B H.N o n c o n t a c t m e a s u r e m e n t o f s c r a t c h o n a i r c r a f t s k i n s [J ].A p p l i e d l a s e r ,1997(2):4936.[6]任伟明,孙培懋,王亚雷,等.一种标定三角测量法激光位移计的方法[J ].光学技术,1997(3):1113,16.[7]赵景海,孙飞显.基于残差补偿的激光位移传感器测距方法[J ].计算机工程,2018,44(10):298302.[8]曹红超,陈磊,王波,等.一种改进型高精度激光三角位移传感器的结构设计研究[J ].激光杂志,2007(6):1415.[9]X u e l i H a o ,A i m i n S h a ,Z h a o yu n S u n ,e t a l .L a s e r b a s e d m e a s u r i n g m e t h o d f o r m e a n j o i n t f a u l t i n g va l u e o f c o n c r e t e p a v e m e n t [J ].O p t i k I n t e r n a t i o n a l J o u r n a l f o r L i gh t a n d E -l e c t r o n O pt i c s ,2016,127(1):274278.[10]胡纪五,史雪飞.仪表线性化优化设计[J ].电测与仪表,2000(2):58.[11]卢治功,贺鹏,职连杰,等.基于最小二乘法多项式拟合三角测量模型研究[J ].应用光学,2019,40(5):853858.[12]姜庆胜,计时鸣,张利.基于计算机视觉的机械零件平面曲线检测和识别研究[J ].机电工程,2005(7):47.樊鹏辉(硕士研究生),主要研究方向为传感器技术;杨光永(副教授),主要研究方向为传感器技术㊁机器人技术;程满(硕士研究生),主要研究方向为A G V 路径规划;刘叶(硕士研究生),主要研究方向为电机控制;徐天奇(教授),主要研究方向为传感器技术㊁自动化㊂(责任编辑:薛士然 收稿日期:2020-09-11) 新型同步系统的研究[J ].光子学报,2002(3):381383.[2]D T i a n ,G Y a n g .D e s i g n a n d d e v e l o p m e n t o f a m i n i a t u r e d i gi t a l d e l a y g e n e r a t o r f o r l a s e r i n d u c e d b r e a k d o w n s p e c t r o s c o p y[J ].I n s t r u m e n t a t i o n s c i e n c e &t e c h n o l o g y,2015(43):115124.[3]S Z h a o l i n ,L N a n ,W Y i n a n .H i g h r e s o l u t i o n p r o gr a mm a b l e d i g i t a l d e l a y g e n e r a t o r d e s i gn a n d r e a l i z a t i o n [C ]//2010I n -t e r n a t i o n a l C o n f e r e n c e o n I n t e l l i g e n t S y s t e m D e s i gn a n d E n -g i n e e r i n g A p p l i c a t i o n I E E E C o m p u t e r S o c i e t y,2010.[4]C L F e n g ,L G a o ,J H L i u .L a s e r m a s s s p e c t r o m e t r yf o r o n -l i n e d i ag n o s i s o f r e a c t i v e p l a s m a s w i th m a n y s p e ci e s [J ].R e -v i e w o f S c i e n t i f i c I n s t r u m e n t s ,2011(6):261434.[5]S V K o v t o u n ,R D E n gl i s h ,R J C o t t e r .M a s s c o r r e l a t e d a c c e l -e r a t i o n i n a r e f l e c t r o n MA L D I T O F m a s s s pe c t r o m e t e r :A n a p p r o a c hf o r e n h a n c e d r e s o l u t i o n o v e r a b r o a d m a s s r a ng e [J ].J o u r n a l o f th e A m e ri c a n S o c i e t y f o r M a s s S p e c t r o m e t r y,2002(13):135143.[6]邱有刚,黄建国,李力.基于F P G A 数字延迟单元的实现和比较[J ].电子测量技术,2011,34(9):6568.[7]马艳喜.数字延时器A D 9501的性能及其应用[J ].电子元器件应用,2002,4(11):2728.陈泽洋,主要研究方向为快点子学与离子光学㊂(责任编辑:薛士然 收稿日期:2020-08-19)。
亡的多参数可调高压纳秒脉冲发生器_图文(精)

1llO仪器仪表学报第3l卷3高压纳秒脉冲发生器的研制高压纳秒脉冲发生器的原理框图如图3所示,该装置主要由高压直流电源、纳秒脉冲形成系统和脉冲整形及计数系统三大部分组成。
纳秒脉冲形成系统…………….企…………….钮刮里h—习■刭一传:感厶畸器ry t图3高压纳秒脉冲发生器基本原理框图Fig.3The basic principles of the hish—voltagenanosecond pulse generator高压纳秒脉冲发生器基本原理:高压直流电源通过限流保护电阻向LC形成线网络充电,在达到自击穿开关阈值电压时,自击穿开关瞬间击穿并在匹配负载(50Q处产生幅值为充电电压一半的高压纳秒方波脉冲。
电流传感器在放电回路中采集脉冲电流,经过滤波、脉冲整形处理电路引入脉冲计数器,在脉冲输出重复频率一定时,通过计数器内置继电器控制整个装置的电源输入,从而实现本装置治疗时间窗口可控。
3.1高压直流电源为减小装置的体积和重量,满足医用设备便携、简单可靠特性,高压直流电源采用高压恒流源(天津东文DW—IX303.1FlD。
输出电压:DC O一+30kV;最大输出电流:l mA,电源配有电流、电压显示模块和调节电位器,并具有过压、过流保护模块。
通过调节电源输出电流来控制LC形成线网络的充电速度,进而控制自击穿开关的闭合频率,最终实现装置输出脉冲重复频率可调,便于寻找最佳肿瘤细胞治疗剂量。
3.2纳秒脉冲形成系统纳秒脉冲形成系统主要由LC网络、自击穿开关和负载电阻组成。
高陡度方波脉冲所包含的高频分量将有助于肿瘤细胞内电处理效应,进一步提高肿瘤细胞凋亡率及治疗效果,因此如何提高输出脉冲上升沿陡度是本装置的关键技术之一。
根据电路理论¨…,脉冲的上升时间与杂散电感成正比,因此本装置主要从两个方面提高方波前沿的陡度。
一方面在设计过程中,选择优质无感电容、电阻;合理布线,尽量减小回路所包含的面积,以减小回路杂散电感。
可控脉冲发生器的设计

可控脉冲发生器的设计一、 实验目的1、 了解可控脉冲发生器的实现机理。
2、 学会用示波器观察FPGA 产生的信号。
3、 学习用VHDL 编写复杂功能的代码。
二、 实验原理脉冲发生器就是要产生一个脉冲波形,而可控脉冲发生器则是要产生一个周期和占空比可变的脉冲波形。
可控脉冲发生器的实现原理比较简单,可以简单的理解为一个计数器对输入的时钟信号进行分频的过程。
通过改变计数器的上限值来达到改变周期的目的,通过改变电平翻转的阈值来达到改变占空比的目的。
下面举个简单的例子来说明其工作原理。
假如有一个计数器T 对时钟分频,其计数的范围是从0~N ,另取一个M (0≤M ≤N ),若输出为Q ,那么Q 只要满足条件时,通过改变N 值,即可改变输出的脉冲波的周期;改变M 值,即可改变脉冲波的占空比。
这样输出的脉冲波的周期和占空比分别为:三、 实验内容编写实现可控脉冲发生器程序,通过脉冲周期和占空比改变实现不同脉冲的输出。
用Quartu s 软件对设计进行编译、综合、仿真,给出相应的时序仿真波形和硬件电路图。
四、 实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity exp10 isport( Clk : in std_logic; --时钟输入Rst : in std_logic; --复位输入⎩⎨⎧≤≤<≤=N T M M T Q 001%1001)1(⨯+=+=N M T N CLOCK占空比周期NU,ND : in std_logic; --输入:控制频率的改变MU,MD : in std_logic; --输入:控制占空比的改变Fout : out std_logic --波形输出);end exp10;architecture behave of exp10 issignal N_Buffer,M_Buffer : std_logic_vector(10 downto 0);signal N_Count :std_logic_vector(10 downto 0);signal clkin : std_logic;signal Clk_Count : std_logic_vector(12 downto 0); --产生一个低速时钟,用于按键判断beginprocess(Clk) --计数器累加beginif(Clk'event and Clk='1') thenif(N_Count=N_Buffer) thenN_Count<="00000000000";elseN_Count<=N_Count+1;end if;end if;end process;process(Clk) --波形判断beginif(Clk'event and Clk='1') thenif(N_Count<M_Buffer) thenFout<='1';elsif(N_Count>M_Buffer and N_Count<N_Buffer) thenFout<='0';end if;end if;end process;process(Clk)beginif(Clk'event and Clk='1') thenClk_Count<=Clk_Count+1;end if;clkin<=Clk_Count(12);end process;process(clkin) --频率及占空比的改变1beginif(clkin'event and clkin='0') thenif(Rst='0') thenM_Buffer<="010********";N_Buffer<="10000000000";elsif(NU='0') thenN_Buffer<=N_Buffer+1;elsif(ND='0') thenN_Buffer<=N_Buffer-1;elsif(MU='0') thenM_Buffer<=M_Buffer+1;elsif(MD='0') thenM_Buffer<=M_Buffer-1;end if;end if;end process;end behave;五、时序仿真图。
基于H桥-MPC电路的高压脉冲发生器设计

须 关 闭 ,其 开 通 时 间 通 常 在 几 个 微 秒 范 围 内 ,这取 决于初级电路的调谐。采用此拓扑结构,I G B T 几
乎可在零电流和零电压条件下关断,因此其关断 损耗非常小。采用磁性辅助器件主要有两个目的。 一方面Lsb减小开 关 动 作 时 IGBT 上的应力。另一 个 目 的 是 能 量 传 输 到 高 压 电 容 后 Lai阻塞能量回 流 。因 为 在 能 量 转 移 后 可 能 会 立 即 发 生 回 流 ,因此 只要能量转移到下一个高电压级,需要通过磁辅 助 isa阻塞回流。 3 . 3 软磁性材料用作开关器件的原理
低压侧电路
高压侧电路
图 1 电路拓扑结构
Fig. 1 Circuit topological structure
3 基 于 IG BT的 H 桥 -M PC 电路工作原理
3 . 1 用作主开关的功率器件的选择 晶 闸 管 和 可 关 断 晶 闸 管 具 有 较 大 的 容 量 ,然
而开关速度很慢。金属氧化物半导体场效应晶体 管为快速开关,然其开关容量很小。IGBT 则兼顾 开关速度和容量需求。然 而 IGBT对电流变化率的 承 受 能 力 较 差 ,因 此 通 常 与 饱 和 电 感 (如 图 1 中 Lsro)串联实现开关功能。I G B T 与电力二极管反向 并联组成换流阀。 3.2 H 桥开关电路作用
2 基 于 H 桥 -M PC 电路的拓扑结构
高 压 脉 冲 发 生 器 H 桥-M P C 电路拓扑结构见 图 1。该结构输入端接600 V 直流充电电源,所充 电能储存在初级电容C。中。通 过 对 4 个 I G B T 所
延时可控高压脉冲发生器的设计

延时可控高压脉冲发生器的设计延时可控高压脉冲发生器的设计延时可控高压脉冲发生器的设计1引言以往研制的高压脉冲发生器采用V型管作为高压脉冲形成级,该管为冷阴极结构形式,一般工作电压在10~15kV范围内,输出高压脉冲相对外触发的延时随直流供电电压的不同而有较大变化,抖动也较大,且长时间工作后,延时和抖动都要增大。
用于触发Marx发生器及高电压脉冲触发装置需要更高的高压触发脉冲,且需在5~30kV范围内连续可调,具有前沿快、延时准确稳定、抖动小、抗干扰能力强等性能。
设计高压脉冲形成级是本机的重点,关键是选择能满足本机各项技术指标要求的高电压、大电流、快速开关管。
为了提高触发系统的延时精度,采用精度为10ns的数字延时单元,延时在10ns~99μs范围,步进10ns,以CPU8031为控制核心的集成电路实现人机对话,增强可靠性和先进性。
直流高压供电采用DC/DC变换技术,封装在金属盒内,实现了电源小型化,增强了抗干扰能力。
2发生器的构成及其工作原理2.1高压脉冲发生器结构延时可控高压脉冲发生器结构框图,是由外触发控制电路、单片机控制电路、延时电路、驱动电路、直流高压电路及高压脉冲形成及输出电路等组成。
图1高压脉冲发生器组成框图外触发控制电路是将外触发信号通过内部的比较器进行电压比较,再通过光电隔离器使外触发信号的地与控制线路的地分开,以屏蔽和减弱外界的电磁干扰及地干扰,增强本机的抗干扰能力。
单片机控制电路、延时电路是通过单片机实现人机对话,来预置延时电路的延时时间,达到延时可控目的。
驱动电路是将延时电路输出幅度较低的脉冲信号变为较高幅度的脉冲信号,用以驱动后级高压脉冲形成电路。
直流高压电路采用DC/DC变换,低压24V经振荡电路产生频率较高交流信号,再经高频脉冲变压器升压及多级倍压输出5~30kV连续可调的直流高压,为高压脉冲形成电路供电。
高压脉冲形成输出电路是本机重要部分,主要是形成和输出负高压脉冲。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
延时可控高压脉冲发生器的设计
延时可控高压脉冲发生器的设计
1引言
以往研制的高压脉冲发生器采用V型管作为高压脉冲形成级,该管为冷阴极结构形式,一般工作电压在10~15kV范围内,输出高压脉冲相对外触发的延时随直流供电电压的不同而有较大变化,抖动也较大,且长时间工作后,延时和抖动都要增大。
用于触发Marx发生器及高电压脉冲触发装置需要更高的高压触发脉冲,且需在5~30kV范围内连续可调,具有前沿快、延时准确稳定、抖动小、抗干扰能力强等性能。
设计高压脉冲形成级是本机的重点,关键是选择能满足本机各项技术指标要求的高电压、大电流、快速开关管。
为了提高触发系统的延时精度,采用精度为10ns的数字延时单元,延时在10ns~99μs范围,步进10ns,以CPU8031为控制核心的集成电路实现人机对话,增强可靠性和先进性。
直流高压供电采用DC/DC变换技术,封装在金属盒内,实现了电源小型化,增强了抗干扰能力。
2发生器的构成及其工作原理
2.1高压脉冲发生器结构
延时可控高压脉冲发生器结构框图,是由外触发控制电路、单片机控制电路、延时电路、驱动电路、直流高压电路及高压脉冲形成及
输出电路等组成。
图1高压脉冲发生器组成框图
外触发控制电路是将外触发信号通过内部的比较器进行电压比较,再通过光电隔离器使外触发信号的地与控制线路的地分开,以屏蔽和减弱外界的电磁干扰及地干扰,增强本机的抗干扰能力。
单片机控制电路、延时电路是通过单片机实现人机对话,来预置延时电路的延时时间,达到延时可控目的。
驱动电路是将延时电路输出幅度较低的脉冲信号变为较高幅度的脉冲信号,用以驱动后级高压脉冲形成电路。
直流高压电路采用DC/DC变换,低压24V经振荡电路产生频率较高交流信号,再经高频脉冲变压器升压及多级倍压输出5~30kV连续可调的直流高压,为高压脉冲形成电路供电。
高压脉冲形成输出电路是本机重要部分,主要是形成和输出负高压脉冲。
2.2工作原理
由键盘键入所要求的延时时间,通过8031芯片内部程序控制延时器所要求的动作时间。
当外触发信号输入时,外触发控制电路开始工作,输出一个脉冲信号触发延时电路,延时器开始计数,当达到所设定的时间时,延时器停止工作,同时输出一个脉冲信号,触发驱动电路,使驱动电路输出一个正脉冲信号,使开关管S导通,储能电容C经S向负载电阻放电(见图2),从而在与同轴电缆相匹配的负载
上获得与直流高压幅度相同,极性相反的高电压脉冲。
图2高压脉冲形成电路
3电路的设计
3.1高压脉冲形成电路设计
根据指标要求,在负载电阻75Ω上,输出最大幅度Vomax为30kV,前沿tr≤16ns,脉宽T1/2≥300ns的高压脉冲,则选择高压脉冲形成器件是本机设计的重点。
该器件需具有耐压高、电流大、开关速度快、抖动小等特点。
美国EG&G公司生产的GP-12B管,工作电压、电流、前沿均可满足要求,但抖动较大。
国产V型管,耐压达不到要求,且延迟、抖动较大。
国产氢闸流管VE4137A阳极峰值电压33kV,峰值电流1.5kA,前沿≤10ns,抖动≤5ns,是较理想的高压脉冲形成器件。
高压脉冲形成电路。
图中VH为高压脉冲形成电路的直流供电电源,5~30kV,极性为正、连续可调、组件式。
脉宽主要取决于储能电容C的大小,按式(1)
V1/2=Vo(1)
式中:Vo为输出高压脉冲幅度;
V1/2为Vo的50%,即,V1/2=Vo;
T1/2为半高宽,即幅度为V1/2时波形的宽度,取T1/2=0.3×10-6s;
R为负载电阻,75Ω;
C为放电电容。
将数据代入式(1)并整理得:
C==5.8nF
实取C=6.6nF用两只3300pF/35kV陶瓷电容并联。
3.2单片机延时电路设计
仪器的控制核心由CPU8031、大规模集成电路8155和EPROM2732组成。
CPU8031是单片机的核心,它实现人机对话并完成对EPLD(可编程逻辑器件)的初始化和数据装入。
8155用作键盘和显示器接口。
EPROM2732用于存储延时同步单元的全部程序,开机后CPU从EPROM2732中读取指令进行程序控制。
延迟时间的输入,显示以及EPLD器件初始化的完成在CPU8031的控制下进行。
根据仪器指标,要求延时脉冲时间为10ns~99μs,延时精度为10ns,抖动小于10ns。
为了解决通道之间的窜扰,时间精度及传送数据要求高等问题,在线路的设计中选用了Aterla公司的7000系列EPLD,将全部的逻辑电路做在一块器件中。
通过单片机的控制对它进行初始化和数据装入。
当触发信号到来时触发EPLD发出设定的延时信号,经过模拟放大输出以驱动后级电路。
3.3触发电路的设计及对高压回窜干扰的抑制
由于开关管S导通放电时,产生了高电压对触发电路的反窜干扰及空间电磁波的干扰,使触发电路很难正常工作。
根据氢闸流管S导通时反窜到其触发控制极上的电压来设计氢闸流管触发电路,。
图3开关管触发电路
氢闸流管触发电路由触发延时控制、脉冲变压器T、高频阻断硅堆D、π型滤波器(C2、L、C1)、开关管的偏置电阻R2及开关管S等组成。
外触发信号触发光电隔离器,经触发延时控制电路后,输出约400V的脉冲信号,加到脉冲变压器T的初级,经脉冲变压器升压产生1kV以上的脉冲信号,脉宽大于2μs。
经D、L触发S导通后,储能电容C经S向负载电阻R放电,输出负的高压脉冲。
S导通瞬间,在其触发控制端产生约(2/3)Uc的高压脉冲,此信号包含多种频率成分,既有高频,又有中频和低频。
高频干扰信号通过C1小电容旁路和经L大电感来衰减;中频和低频干扰信号则由高压硅堆反向阻断。
这样通过旁路、衰减及阻断后到脉冲变压器T 的次级约为百伏的干扰电压,再经T的降压也就只有几十伏的电压,从而解决了S开关导通反窜到控制电路的干扰。
4性能指标测试
4.1高压脉冲波形
高压脉冲发生器输出高压脉冲波形。
图4高压脉冲发生器输出高压脉冲波形高压脉冲输出经TekP6015A1/1000高压探头衰减,由TDS774A数字示波器测量,测试数据自动读出显示。
由图4可知,
高压脉冲波形光滑无振荡,下降沿15.7ns,脉宽约300ns。
4.2主要技术指标
表1列出了本机及以往研制的同类产品对应的主要技术性能指标,以对二者进行比较。
表1高压脉冲发生器主要性能指标输出高压脉冲Vo/kV相对外触发延时tedl/ns抖动Δt/ns前沿tr/ns可预置延时tp/ns本机5~30400~10101610~99000以往研制同类产品10~152500~5008025——
从表1所列数据可以看出,本机的性能指标先进,较以往研制的同类产品有较大的改进和提高。
1)高压脉冲幅度提高1倍且调节范围宽,在5~30kV连续可调;
2)对不同的高压脉冲相对外触发延时基本固定不变;
3)增加可预置延时功能,延时10ns~99μs,具有较高的精度;
4)抖动是高压脉冲发生器一个重要指标,本机的抖动很小,而以往研制的抖动很大。
5结语
本机输出高压脉冲调节范围宽,从5kV至30kV连续可调,可预置,前沿快、抖动小、延时准确,又增加了可预置延时,从而拓宽了应用范围,提高了测量精度。
可控延时采用了先进技术,应用单片
机,实现了人机对话,操作简便,增加了可靠性,且具有先进性。
高压脉冲形成级采用高压大电流,快前沿、低抖动、长寿命快速氢闸流管,在设计上留有较大余量,确保运行可靠。
采用光耦、变压器隔离、地隔离、多层金属屏蔽等措施,对抑制高压放电产生的电磁干扰以及地电流干扰有明显效果;对高压开关管导通瞬间在触发驱动级产生的多种频率成分的高压回窜强干扰,采用旁路、衰减、阻断等相应的有效方法,保证了触发电路的正常工作。
该机已交付使用,所有技术指标均符合和满足设计规定的指标及使用要求,长期运行工作稳定可靠。