八音阶电子琴设计方案
课程设计报告书之八音电子琴的设计-精品
![课程设计报告书之八音电子琴的设计-精品](https://img.taocdn.com/s3/m/8ecdf1a91a37f111f1855bb7.png)
PORT(INDEX: IN STD_LOGIC_VECTOR(7 DOWNTO 0);--音符输入信号
CODE: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);--音符显示信号
HIGN: OUT STD_LOGIC;--高低音显示信号
TONE0: OUT INTEGER RANGE 0 TO 2047);--音符的分频系数
WHEN 4=>INDEX0<="00010000"; --5
WHEN 5=>INDEX0<="00010000"; --5
WHEN 6=>INDEX0<="00010000"; --5
WHEN 7=>INDEX0<="00100000"; --6
WHEN 8=>INDEX0<="10000000"; --8
TONE1: IN INTEGER RANGE 0 TO 2047;--音频分频系数
SPKS: OUT STD_LOGIC);--驱动扬声器的音频信号
END ENTITY FENPIN;
ARCHITECTURE ART OF FENPIN IS
SIGNAL PRECLK:STD_LOGIC;
SIGNAL FULLSPKS:STD_LOGIC;
END AUTO;
ARCHITECTURE BEHAVIORAL OF AUTO IS
SIGNAL COUNT0:INTEGERRANGE0 TO 31;
BEGIN
PULSE0:PROCESS(CLK,AUTO)
VARIABLE COUNT:INTEGERRANGE0 TO 8;
简易电子琴设计说明书
![简易电子琴设计说明书](https://img.taocdn.com/s3/m/b274e0e933d4b14e84246832.png)
简易电子琴设计说明书一﹑设计题目:设计一简易电子琴,要求:①能够发出1、2、3、4、5、6、7、ⅰ等八个音符,此八音符电子琴可通过按健输入来控制音响。
②演奏时可以选择手动演奏还是自动演奏已存入的乐曲。
使用元件:AT80c51、LM324、扬声器、按键等。
二、设计目的:㈠掌握电子电路的一般设计方法和设计流程,并且能够对电子电路、电子元器件、印制电路板等方面的知识有进一步的认识。
㈡学习使用protel软件绘制电路原理图及印刷板图。
㈢掌握应用EWB对所设计的电路进行仿真,通过仿真结果验证设计的正确性。
三、设计内容及步骤:为使所设计的简易电子琴实现预期功能——此八音符电子琴可通过按健输入来控制音响的目的,要建需立音阶与8051输出频率的对应表,如下表:简易电子琴硬件系统图:流程图:原理:(一)音乐产生原理及硬件设计由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系弄正确即可。
本次设计中单片机晶振为12M H Z,那么定时器的计数周期为1M H Z,假如选择工作方式1,那T值便为T=216--5﹡105/相应的频率,那么根据不同的频率计算出应该赋给定时器的计数值,列出不同音符与单片机计数T0相关的计数值如下表所示:采用查表程序进行查表时,可以为这个音符建立一个表格,有助于单片机通过查表的方式来获得相应的数据:T A B L E D W64580,64684,64777,64820,64898,64968,65030源程序:方案一:产生按键音符O R G0000HL J M P M A I NO R G000B HL J M P B R E A KM A I N:M O V T M O D,#01H;设置定时器0的工作方式S E T B E AS E T B E T0;设置定时器0中断S E T B T R0;启动定时器0W A I T1:L C A L L K E Y;调用K E Y子程序,判断有键按下否?第几个键?C L R E A;屏蔽中断C J N E R3,#00H,W A I T1;如果R3=0,表示有键按下M O V A,22H;将22H里存放的按键号送给AR L A;因为查表里都是字,所以得乘2查得数据M O V D P T R,#T A B L E;指向表头M O V C A,@A+D P T R;查表M O V T H0,A;将数据高位送T H0M O V21H,A;将高位备份M O V A,22H;将22H里存放的按键号送给AR L A;因为查表里都是字,所以得乘2查得数据I N C A;取低位数据M O V C A,@A+D P T RM O V T L0,AM O V20H,AW A I T2:L C A L L K E YS E T B E AC J N E R3,#00H,W A I T1J M P W A I T2K E Y:M O V R3,#00H;K E Y子程序,判断有键按下否?第几个键?M O V R1,#0F F HM O V R0,#00HM O VA,R1M O V P2,AM O VA,P2C L R CC P L C;利用标志位C Y来判断是哪个键按下M O V R2,#08HWAI T3:R L C A;移位判断J N C S TO R EI N C R0D J N Z R2,WAI T3S TO R E:M O V22H,R0;将按键号存22H,R3=0有键按下M O V R3,#00HR E TB R E AK:P U S H AC C;中断产生方波,从P1.0口输出P U S HP S WM O V T L0,20HM O V T H0,21HC P LP1.0P OP P S WP OPAC CR E T ITAB L E:D W65030,64968,64898,64820,64777,64684,64580;7,6,5,4,3,2,1 E N D方案二:产生按键音符O R G0000HS J M P S TA RTO R G000B HAJ M P I N T_0O R G0030HS TART:M O V P1,#00HS E T B E AS E T B E T0;计数器0M O V T M O D,#02HM O V T H1,#09C HM O V T L1,#09C H;定时器初植C L RT R0;定时器不允许S C AN:;键盘扫描M O VA,P2;判断键盘按下,跳到S C AN_1,若未按,继续扫描C J N E A,#0F F H,S C AN_1N O PS J M P S C ANS C AN_1:AC A L L D E L AY;是不是真的要按下?如果是,则跳转S U_K E Y查询是哪个按下?M O VA,P2C J N E A,#0F F H,S U_K E YN O P。
数字电子EDA课程设计八音电子琴
![数字电子EDA课程设计八音电子琴](https://img.taocdn.com/s3/m/b6deedeccf2f0066f5335a8102d276a201296040.png)
数字电子EDA课程设计八音电子琴第一篇:数字电子EDA课程设计八音电子琴燕山大学EDA课程设计实验报告院系:信息科学与工程学院姓名:班级:学号:日期:[实验名称] 八音电子琴[实验要求] 1.能发出1.2.3.4.5.6.7.i八个音2.用按键作为键盘3.C调至B调对应频率如下表音调 C(高音)B A G F E D C频率(Hz)261.63x2 493.88 440.00 392.00 349.23 329.63 293.66 261.63 [实验电路设计] 1.命题分析根据命题的要求,要使扬声器发音,需要在其输入信号端连接一个对应频率的方波信号.实验使用的信号源可以提供从几Hz到几兆Hz不等的信号频率,自然可以想到本实验命题的关键是一个具有相应分频比的分频器.考虑到硬件(按钮)在实际工作过程中会因元件的接触产生一些不可避免的抖动脉冲电平,会对实验造成影响,因此需要在按键接入线路中安装防抖动电路.2.设计过程1>分频器为了取得合适的电路复杂度和可接受的误差范围,分频器的时钟信号选取为器件所提供的JPCK—1(3MHz音频信号).然后通过计算,用时钟信号频率除以各发音频率,得到的分频比如下表:分频比(16进制)5DEE 6384 6F84 7D62 8ADE 951C A760 BBDE频率(Hz)高C:261.63x2 B:493.88 A:440.00 G:392.00 F:349.23 E:329.63 D:293.66 C:261.63 利用四片十六进制计数器74LS161就可以连接成适用的分频器.分频器连接完成后会产生一个预定频率的周期脉冲.但是实验要求的方波其占空比应该为1:1,因此在输出脉冲端加装一个T触发器,每次脉冲到达触发器的时候输出便会跳变电平,这就达到了驱动扬声器的条件.但是在应用了T触发器后输出方波的周期比预定的扩大了一倍,也就是说频率减至原来的二分之一.此时应重新选择时钟信号,令其为原来2倍即可.根据实验指导书,最终确定选择的时钟信号为6MHz.2>防抖动电路利用D触发器的电压跟随特性可以用一个频率较低的时钟信号驱动,达到防止按键抖动的目的.电路较简单,见图3.图1.时钟分频电路(downway)图2.CP为375K时上图的B4输出波形由于设计的原因,本电路只能支持单音节输入.当同时键入两个以上的音阶时,分频比较小的(比较高的音阶)优先发声.图3.按键输入防抖动电路3>电路组合组合后的电路模块如图4.共有11个输入端和1个输出端.其中,CP_6M为整个电路的主频,使用电路板上的6MHz信号输出端;CP_1US为防抖动电路中触发器所使用的时钟,要求频率不高,选择电路板提供的CLK3-3(12Hz);KEYCa-B是电子琴的输入按键接口,依次是从低音到高音.Speaker是电路的输出端,接入扬声器,为其产生相应音阶的频率.实际电路如图4.连接完成后,对该电路进行仿真测试,如图5,令其CP_6M为6MHz,CP_1US为一个较低频率脉冲,设臵KEYB(音节B)为有效电平,在speaker上得到的输出频率为493,与实际音节的频率相同.图4.八音电子琴图5.电子琴完成品的仿真波形T触发器2分频电路[硬件测试] [实习心得] 第一感觉,数字电子技术EDA实习很有意思.我们可以有充分的时间去思考怎么做出一个东西,这个东西的用处也许不大甚至几乎没有,但重要的是思考的过程:从它的用途总结出它的特性,从它的特性构思出它的原理,从原理到构建模型,再到模型的实现,利用已有的知识,可用的元件,最终组合出一个具有高度逻辑性的组合电路,这和我们小时候玩搭积木差不多.把积木一块块的搭成一座城堡,中间缺少任何一层甚至任何一块,城堡都可能会倒塌.同理,在我们构建命题所给的元器件时任何一个逻辑错误都可能是致命的,导致最后无法出现正确结果或者干脆不能用.因此,实习,有意思的同时还不能大意.这是一个锻炼逻辑思维和思维严谨性的极好的机会,我和我的同学们在这次工作中受益非浅.大家都积极思思考,查找资料,集思广益来解决现有的问题。
数电课程设计--简易电子琴
![数电课程设计--简易电子琴](https://img.taocdn.com/s3/m/805c910676eeaeaad0f33073.png)
数电课程设计--简易电子琴目录1 设计任务 (1)1.1基本任务 (1)1.2 扩展任务 (1)2 设计方案原理 (1)3 单元电路的设计 ............................... 错误!未定义书签。
3.1 多谐振荡器 ............................. 错误!未定义书签。
3.2 琴键开关 03.3 扩音器(喇叭) (1)3.4 器件选择 (1)4 电路图的绘制 (2)5 电路的仿真及调试 (3)6 体会 (4)参考文献 (6)1 设计任务电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。
本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。
虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。
本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。
本次设计的任务为:1.1基本任务①具备8个按键,能够分别较准确地弹奏出1~•1八个音符。
②选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
用Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计报告书。
1.2 扩展任务①能够弹奏出至少21个音符(三个音阶)。
②能够较便捷地完成音阶的升降。
(按一个开关实现升8度,按另一个开关实现降8度)2 设计方案原理本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外3脚:OUT(或Vo)输出端。
4脚:Rd是直接清零端。
当R端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。
5脚:CO(或VC)为控制电压端。
若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只10nF电容接地,以防引入干扰。
八音电子琴报告
![八音电子琴报告](https://img.taocdn.com/s3/m/58eb4ad2240c844769eaee95.png)
数电设计实验报告姓名:吴俊伟学号:20083200090项目:八音电子琴[设计题目] 八音电子琴[设计要求] 1.能发出1.2.3.4.5.6.7.i八个音2.用按键作为键盘3.C调至B调对应频率如下表音调频率(Hz)C(高音) 261.63x2B 493.88A 440.00G 392.00F 349.23E 329.63D 293.66C 261.63[实验电路设计]1.设计过程构思< 1>制作分频器利用三片十进制计数器74LS160连接成适用的分频器.假设分频器的时钟信号选取为187.5KHz.然后通过计算,用时钟信号频率除以各发音频率,得到的分频比如下表:分频比频率(Hz)358 高C:261.63x2380 B:493.88426 A:440.00478 G:392.00536 F:349.23569 E:329.63638 D:293.66717 C:261.63用T触发器驱动扬声器,因此最终确定选择的时钟信号为375KHz. 设计图如下:由于这个方法所用芯片更多而且电路太过复杂,而且成本较高,所以构想另外的思路.构思< 2>555计时器组成多谐振荡器,设计图如下:利用一片555和若干电阻组成多谐振荡器,利用电容的充放电过程输出周期性的矩形波再通过三极管放大驱动蜂鸣器.[硬件测试]接通电源后蜂鸣器先会一直蜂鸣一段时间,停止后可以接通各开关使蜂鸣器工作,蜂鸣器经常会无故一直蜂鸣,再按几次开关就又恢复正常,经过检查未发现原因出在何处.电路基本功能可以实现,可能是由于电路抖动使电路不稳出现一直蜂鸣的现象.[设计心得]第一感觉,数字电子技术设计很有意思.我们可以有充分的时间去思考怎么做出一个东西,这个东西的用处也许不大甚至几乎没有,但重要的是思考的过程:从它的用途总结出它的特性,从它的特性构思出它的原理,从原理到构建模型,再到模型的实现,利用已有的知识,可用的元件,最终组合出一个具有高度逻辑性的组合电路,这和我们小时候玩搭积木差不多.把积木一块块的搭成一座城堡,中间缺少任何一层甚至任何一块,城堡都可能会倒塌.同理,在我们构建命题所给的元器件时任何一个逻辑错误都可能是致命的,导致最后无法出现正确结果或者干脆不能用.而焊接的方法和效率也是非常重要的,我一开始实用了一个生锈的电烙铁,以至于焊接一个点都非常困难,后来更换了新的电烙铁以后,焊接的效率以及质量都明显提高了.所以,好的工具是非常必要的.虽然这一个设计比较简单,但是也是花了两天时间才把基本电路焊接完毕,由此看来我的焊接手艺还是非常一般的.而在测试电路过程中发现,蜂鸣器会偶尔不规则的蜂鸣,这可能是电路设计上的缺陷,重新焊接一遍问题仍然存在,由于时间紧迫此问题一直没有得到解决.。
八音电子琴设计流程
![八音电子琴设计流程](https://img.taocdn.com/s3/m/4ec65e7ee3bd960590c69ec3d5bbfd0a7956d52c.png)
八音电子琴设计流程下载温馨提示:该文档是我店铺精心编制而成,希望大家下载以后,能够帮助大家解决实际的问题。
文档下载后可定制随意修改,请根据实际需要进行相应的调整和使用,谢谢!并且,本店铺为大家提供各种各样类型的实用资料,如教育随笔、日记赏析、句子摘抄、古诗大全、经典美文、话题作文、工作总结、词语解析、文案摘录、其他资料等等,如想了解不同资料格式和写法,敬请关注!Download tips: This document is carefully compiled by theeditor. I hope that after you download them,they can help yousolve practical problems. The document can be customized andmodified after downloading,please adjust and use it according toactual needs, thank you!In addition, our shop provides you with various types ofpractical materials,such as educational essays, diaryappreciation,sentence excerpts,ancient poems,classic articles,topic composition,work summary,word parsing,copy excerpts,other materials and so on,want to know different data formats andwriting methods,please pay attention!1. 需求分析。
确定电子琴的功能和性能要求,例如音符范围、音色、音量控制等。
电子琴设计八音阶
![电子琴设计八音阶](https://img.taocdn.com/s3/m/1d1cc264d0d233d4b04e6971.png)
目录摘要 (2)关键字 (2)第一章系统设计 (2)1.1 设计要求 (2)1.1.1 根本局部 (2)1.1.2 发挥局部 (2)1.2总体设计方案 (2)1.2.1 设计思路 (3)1.2.2 方案比拟 (3)1.2.3 系统组成和工作原理 (3)第二章单元电路设计 (4)2.1顶层模块(top)的设计 (4)2.2自动演奏模块〔automusic〕的设计 (4)2.3音调发生模块〔tone〕的设计 (4)2.4数控分频模块〔speaker〕的设计 (4)第三章软件设计 (5)3.1VHDL语言简介 (5)3.2软件设计 (5)第四章系统测试 (6)4.1测试使用的仪器 (6)4.2测试方法 (6)4.3指标测试和测试结果 (6)第五章完毕语 (6)第六章参考文献 (6)第七章附录 (7)电子琴的设计摘要:用可编程逻辑器件〔PLD〕来完成该设计。
核心是一数控分频器,对输入的脉冲进展分频,得到每个音阶对应的频率,由此实现简易电子琴的发音功能。
电子琴可演奏由键盘输入的音阶或切换到自动演奏存储在电子琴的乐曲。
本设计基于超高速硬件描述语言VHDL 在Xilinx公司的SpartanⅡ系列的XC2S2005PQ-208芯片上编程实现;经仿真,硬件测试和调试根本能够到达技术指标,实验结果和仿真结果根本一致。
关键词:PLD,VHDL,数控分频,电子琴The Design of Electrical OrganCao Xueke Tan Xianfeng Tian Dandan〔Nanhua University HengYang Hunan 421001〕Teacher:Wang YanAbstract:The design is acplished with Programmable-Logic-Device(PLD).The core of it is anumerical control frequency divider, which can divide the input pulse into the corresponding frequency to the musical scale, so that it can achieve the sounding function of simple electronic organ. The organ can play the musical scale put in with a keyboard or switch to auto play the melody stored in it. The design is programmed with VHDL and realized in the chip of XC2005PQ-208 Xilinx series. It can basically reach the technique index after simulating, hardware debugging.The experiment result is consonant with the simulating result.Keywords:PLD, VHDL, numerical control frequency divider, electrical organ第一章系统设计1.1 设计要求1.1.1 根本局部设计一八音电子琴,由键盘输入来控制其对应的音响。
8音电子琴
![8音电子琴](https://img.taocdn.com/s3/m/6afb0769011ca300a6c390cf.png)
八音电子琴的设计西南大学电子信息工程学院成员:董哲康闫佳佳时间:公元2009年8月6日一、作品简介及要求1.能发出1、2、3、4、5、6、7、i这八个音;2.用按键作为电子琴的键盘;3.C调到B调的对应频率为:高音C调261.63*2HZB调493.88HZA调440.00HZG调392.00 HZF调349.23 HZE调329.63 HZD调293.66 HZC调261.63 HZ二.设计思路本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。
系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。
系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。
本系统功能比较齐全,有一定的使用价值。
三.简易电子琴设计过程3.1简易电子琴的工作原理音乐产生原理及硬件设计由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器来产生这样方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系弄正确即可。
该电子琴可以实现两个功能,用按键CS来选择不同功能,第一种功能是用手动即通过按键的形式输入不同音名,第二种功能是音乐发生器,可以自动重复播放“梁祝”音乐。
当CS为高电平1时,选择功能二,当CS为低电平0时,选择功能一,由于本设计是利用的GW48EDA_PK3实验系统,选择的是NO.3方案,所以要长按实验箱上的八键,才会选择功能二。
其硬件原理图如图一(图一)3.2简易电子琴的工作流程图如图二所示(图二)3.3简易电子琴中各模块的设计系统内部结构图如下图图三所示(图三)3.3.1琴/乐功能选择器BMUX模块程序:library ieee;use ieee.std_logic_1164.all;entity bmux is port(index1:in std_logic_vector(3 downto 0);index2:in std_logic_vector(3 downto 0);cs :in std_logic;toneindex:out std_logic_vector(3 downto 0)); end;architecture arc_bmux of bmux isbeginprocess(cs)begincase cs iswhen '0'=>toneindex<=index1;when '1'=>toneindex<=index2;when others=>toneindex<=null;end case;end process;end arc_bmux;3.3.3按键tone模块程序:library ieee;use ieee.std_logic_1164.all;entity tone isport(key:in std_logic_vector(6 downto 0); toneindex1:out std_logic_vector(3 downto 0)); end;architecture one of tone isbeginsearch:process(key)begincase key iswhen"0000001"=>toneindex1<="0001"; when"0000010"=>toneindex1<="0010"; when"0000100"=>toneindex1<="0011"; when"0001000"=>toneindex1<="0100"; when"0010000"=>toneindex1<="0101"; when"0100000"=>toneindex1<="0110"; when"1000000"=>toneindex1<="0111";when others=>toneindex1<="0000";end case;end process;end;3.3.4T oneT aba模块程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY ToneTaba ISPORT ( Index : IN STD_LOGIC_VECTOR (3 DOWNTO 0) ;CODE : OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ;HIGH : OUT STD_LOGIC;Tone : OUT STD_LOGIC_VECTOR (10 DOWNTO 0));END;ARCHITECTURE one OF ToneTaba ISBEGINPROCESS(Index)BEGINCASE Index ISWHEN "0000" => Tone<="11111111111" ; CODE<="0000"; HIGH <='0';-- 2047HIGH <='0';-- 773;WHEN "0010" => Tone<="01110010000" ; CODE<="0010"; HIGH <='0';-- 912;WHEN "0011" => Tone<="10000001100" ; CODE<="0011"; HIGH <='0';--1036;when "0100" => tone<="10001011100" ; code<="0100"; high <='0';--1116;WHEN "0101" => Tone<="10010101101" ; CODE<="0101"; HIGH <='0';--1197;WHEN "0110" => Tone<="10100001010" ; CODE<="0110"; HIGH <='0';--1290;WHEN "0111" => Tone<="10101011100" ; CODE<="0111"; HIGH <='0';--1372;WHEN "1000" => Tone<="10110000010" ; CODE<="0001"; HIGH <='1';--1410;WHEN "1001" => Tone<="10111001000" ; CODE<="0010"; HIGH <='1';--1480;WHEN "1010" => Tone<="11000000110" ; CODE<="0011"; HIGH <='1';--1542;WHEN "1100" => Tone<="11001010110" ; CODE<="0101"; HIGH <='1';--1622;HIGH <='1';--1668;WHEN "1111" => Tone<="11011000000" ; CODE<="0001"; HIGH <='1';--1728;WHEN OTHERS => NULL;END CASE;END PROCESS;END;。
基于vhdl八音符电子琴电路设计
![基于vhdl八音符电子琴电路设计](https://img.taocdn.com/s3/m/e2b46b1abb4cf7ec4bfed063.png)
目录一、设计任务与要求 (1)二、总体框图 (2)三、选择器件 (5)四、功能模块 (6)1.Songer模块 (6)1.1NoteTabs模块 (6)1.2ToneTaba模块 (11)1.3Speakera模块 (13)2.div模块 (16)3.七段译码器模块 (18)五、总体设计电路图 (21)1.顶层设计的电路原理图 (21)2.顶层设计的仿真结果 (23)3.电路的管脚图 (23)六、结束语 (24)七、心得体会 (25)八音符电子琴电路设计一、设计任务与要求在EDA开发平台上利用VHDL语言设计一个八音符电子琴,由键盘输入控制音响或自动演奏。
用户可以将自己编制的乐曲存入电子琴,演奏时可以选择键盘输入乐曲或者自动演奏已存入的乐曲。
二、总体框图系统设计方案:方案一:采用单个的逻辑器件组合实现。
这样虽然比较直观,逻辑器件分工鲜明,思路也比清晰,一目了然。
但是由于元器件种类、个数繁多,而过于复杂的硬件电路也容易引起系统的精度不高、体积过大等不利因素。
例如八个不同的音符是由八个不同的频率来控制发出的,而采用方案一就需要运用不同的分频器来对信号进行不同程度的分频。
所用仪器之多显而易见。
方案二:采用VHDL语言编程来实现电子琴的各项功能。
系统主要由电子琴发声模块、选择控制模块和储存器模块组成。
和方案一相比较,方案二就显得比较笼统,只是把整个系统分为了若干个模块,而不牵涉到具体的硬件电路。
但是我们必须看到用超高速硬件描述语言VHDL的优势,它不仅具有良好的电路行为描述和系统描述的能力而且通俗易懂。
经过对以上两种方案的分析、比较和总结,我们选用方案二来进行八音符电子琴的设计。
八音符电子琴设计总体框图,如图1:图1 八音符电子琴设计总体框图该系统由三个模块:Songer、Div和SEG7(7段译码器)组成。
1. Songer模块:此模块包括3个小模块,分别是NoteTabs模块,ToneTab模块和Speakera模块。
八音电子琴
![八音电子琴](https://img.taocdn.com/s3/m/8d51aee7102de2bd96058875.png)
一、设计题目及要求1.设计题目八音电子琴2.设计要求(1)能发出1,2,3,4,5,6,7,1八个音。
(2)用按键作为键盘。
(3)C调到B调对应频率为。
二、设计过程及内容(包括○1总体设计的文字描述,即由哪几个部分构成的,各个部分的功能及如何实现方法;○2主要模块比较详尽的文字描述,并配以必要的图片加以说明,但图片数量无需太多)1.设计分析与构成实验设计总体主要由防抖动模块、分频器模块两部分构成,根据命题的要求,要使扬声器发音,需要在其输入信号端连接一个对应频率的方波信号.实验使用的信号源可以提供从几赫兹到几兆赫兹不等的信号频率,利用分频器分出相应需要的频率。
(1).模块一——防抖电路模块。
作用:用来消除在按键接入线路时不可避免抖动脉冲电平对试验造成的影响。
(2).模块二——分频器模块。
作用:将高频时钟信号转化为试验所需要的低频时钟信号,并利用T触发器试验占空比的改变。
2.设计原理及实现(1)防抖动电路在按键接入线路时,不可避免的会产生抖动脉冲电平。
为消除此类脉冲的影响,可选择增加防抖电路(图1-1)。
设计通过D触发器的保持特性,给定触发器的周期大于操作者手抖动的周期,从而在这一周期内保持信号不变,从而达到防抖动的效果。
本实验选择的是clk1/14,366Hz的频率防抖电路的输出作为分频电路的输入。
图1-1(2)分频电路计数器有分频的作用,N进制的计数器的进位输出信号的频率就为时钟频率的N分之一。
所以分频器的作用就是把高频时钟频率转化为低频音调频率。
在输出脉冲端加装一个T触发器,每次脉冲到达触发器的时候输出便会跳变电平,这就达到了驱动扬声器的条件。
本实验选用了四片74161十六进制计数器。
(16^3=4096,16^4=65536,三片不能满足要求试验要求)。
根据实验指导书与试验箱的功能结构,选中时钟频率6MHz。
对于分频器基本电路的设计,同防抖电路,避免了清零法不彻底的因素,采用整体置数法进行置数。
八音自动播放电子琴设计
![八音自动播放电子琴设计](https://img.taocdn.com/s3/m/ce904e76ef06eff9aef8941ea76e58fafab045c6.png)
八音自动播放电子琴设计首先,我们来介绍一下八音自动播放电子琴的设计原理。
该电子琴通过使用一个专门设计的芯片来控制音色的输出。
这个芯片包含了多个音源,每个音源都能够产生特定的音调。
通过控制这些音源的输出,可以实现不同的音调组合,从而产生各种曲调。
接下来,我们来看一下八音自动播放电子琴的硬件架构。
整个硬件架构通常包括音源模块、控制模块和音箱模块。
音源模块主要负责产生音调,并将其输出给控制模块。
控制模块则负责接收用户的输入指令,并根据指令控制音源模块的输出。
最后,音箱模块负责将产生的声音放大,从而使得音乐可以被听到。
在软件方面,八音自动播放电子琴的设计需要考虑到音调的控制以及音乐的编码。
音调的控制可以通过编程实现,将不同的音调对应到不同的控制指令上。
音乐的编码可以采用MIDI(Musical Instrument Digital Interface)标准,通过将音乐的各个元素进行编码,可以实现对音乐的控制和演奏。
实现八音自动播放电子琴的关键技术主要包括音源的设计和控制模块的编程。
在音源的设计方面,需要考虑到音色的产生和输出。
通常可以采用数字合成技术(Digital Sound Synthesis),通过数学算法模拟不同乐器的音色。
在控制模块的编程方面,需要设计一个用户友好的界面,方便用户选择和控制不同的音调和曲调。
接下来,我们来介绍一下八音自动播放电子琴的使用方法。
用户可以通过控制模块上的按钮选择不同的音调和曲调。
同时,用户也可以通过外部设备(如电脑或手机)将自己编写的音乐文件导入到电子琴中进行演奏。
通过简单的操作,用户就可以轻松地演奏出各种曲调。
总结一下,八音自动播放电子琴是一种能够自动演奏八种不同音调的电子琴。
它的设计原理是通过内置程序以及特殊的装置,实现对音调的控制和演奏。
在硬件方面,需要考虑到音源模块、控制模块和音箱模块的设计和连接。
在软件方面,需要考虑到音调的控制和音乐的编码。
实现八音自动播放电子琴的关键技术包括音源的设计和控制模块的编程。
简易电子琴的设计
![简易电子琴的设计](https://img.taocdn.com/s3/m/d6c63a362af90242a895e5e1.png)
方案一:
振荡器 分频器 电 源 键 盘 放 大 器 扬 声 器
玩具电子琴的电路框图
方案二:
T=T1+T2=0.7(RA+2RB)C
玩具电子琴的电路原理图
三、电子电路设计的一般过程
1、总体方案设计
(关键步骤、体现设计思想,涉及到设计的成败) 2、方案的优化和选择(简化、精度、成本) 3、功能电路的设计及参数计算 4、画出总体电路图
一、设计任务
设计一个玩具电子琴的设计 。
1、实验基本要求及设计指标 :
(1)玩具电子琴设有八个音阶1、2、3、4、5、6、7、 1,每按一下琴键,扬声器发出一个音符的声音 。
(2)电子琴键采用普通按钮,并能用数码显示所按琴
键。
2、技术指标
(1) 基本要求
1)功能实现;
2)音准满足下表中的设计频率; 3)仿真结果;
1、基本原理
根据题目要求: 1)当物体振动时,能够发出声音。振动的频率不同, 声音的音调就不同。 2)在电子琴里,虽然没有振动的弦、簧、管等物体, 却有许多特殊的电装置,每个电装置一工作,就会使 喇叭发出一定频率的声音。当按动某个琴键时,就会 使与它对应的电装置工作,从而使喇叭发出某种音调 的声音。
1. 输入交流电压U=220V,f=50Hz。
2. 输出直流电压Uo=3~6V、6~9V、9~12V三档。 3. 输出直流电流≤1A。 4. 稳定系数Sr≤0.01。 5. 纹波电压≤30mV。 6. 具有过流及短路保护功能。
5、仿真——EWB或Multisim(或实验板试验)
6、选择元器件 7、PCB版的设计——Protell 8、安装调试
四、电子电路设计中常见问题
1、模块之间的级联
简易电子琴电路的设计
![简易电子琴电路的设计](https://img.taocdn.com/s3/m/da00754d866fb84ae45c8dd5.png)
1. 技术指标 (1)2. 设计方案及其比较 (1)2.1 方案一 (1)2.2 方案二 (3)2.3 方案比较 (6)3. 实现方案 (6)4. 调试过程及结论 (10)5. 心得体会 (16)6. 参考文献 (16)简易电子琴电路的设计1.技术指标设计一个玩具电子琴,设8个琴键,分别代表1、2、3、4、5、6、7、į八个不同音符,每按下一个琴键,扬声器发出一个音符的声音。
演奏时的音量和节拍可以调节。
2.设计方案及其比较2.1 方案一选用RC振荡电路和运算放大器构成简易电子琴电路。
RC振荡电路的具体电路为文氏桥正弦振荡电路。
电路原理图如下图1。
图1 简易电子琴电路原理图其中1C和按键电阻并联,2C和12R串联,两者共同构成RC串并联选频网络。
由于选频网络的相移为零,这样RC串并联选频网络送到运算放大器同相输入端的信号电压与输出电压同相,所以RC反馈网络形成正反馈,满足相位平衡条件,因而可以形成振荡。
由于振荡的能量是电源,激励信号源是电路中的噪声,它的频谱丰富,包含频率成分f;但由于噪声信号极其微弱,在振荡期间应使信号做增幅振荡,为此合理选择电阻使0ω信号就会通过正反馈而使得输出信号不断增大,使输出幅环路增益大于1,这样频率为0度越来越大,最后受电路中非线性元件的限制,使振荡幅度自动稳定下来,电路进入等幅振荡。
频率0f之外的信号由于不满足振荡平衡条件,将不会在输出信号中出现,RC选频网络实现了信号频率的选择功能。
按键电阻的选择:查阅资料得知八个音阶的频率如下表1:表1 八个音阶的频率由于1C的值确定为0.1uF,由公式:fπ2/1=(1)fRC0=并结合表一计算可得电阻阻值分别为(单位:欧姆):36kR3.1=(2)28R7.k2=(3)23R3.k3=(4)20kR4.4=(5)16kR2.5=(6)k13R1.6=(7)R3.10k7=(8)R1.9k8=(9)通过阻值选择电阻器件。
电路要求不仅能够振荡,而且能够稳幅。
课程设计报告书之八音电子琴的设计
![课程设计报告书之八音电子琴的设计](https://img.taocdn.com/s3/m/9d4f2bde02d276a200292ede.png)
课程设计报告书之八音电子琴的设计赣南师院物理与电子信息学院课程设计报告书姓名: 涂丽平班级: 06电子科学与技术学号: 060803050时间: 2008年 12月 20日八音电子琴的设计八音电子琴的设计论文题目(1)设计一个简易的八音符电子琴,可通过按键输入来控制输出八个音。
课程论文要求(2)演奏时可以选择是手演奏(由键盘输入)或自动演奏已存入的乐曲。
(3)能显示所演奏的音谱和高低音的区别。
一)设计目的:通过课程设计,加强自己的动手能力和运用所学知识解决问题的能力。
EDA技术是电子设计的发展趋势,利用EDA工具可以代替设计者完成电子系统设计中的大部分工作。
EDA工具从数字系统设计的单一领域,发展到今天,应用范围已涉及模拟、微波等多个领域,可以实现各个领域电子系统设计的测试、设计方针和布局布线等。
设计者只要完成对电子系统的功能描述,就可以利用计算机和工具,进行设计处理,最终得到设计结果。
当我们完成自己的设计课程时,不仅使我们提高了理论与实践的能力,还能使我们的心理素质得到提高,增强我们的自信心,让我们更好的发展自己。
设计过程二)方案论证:方案一:采用单个的逻辑器件组合实现。
八音电子琴可由三个模块组成。
1. 模块一:时钟分频器。
作用是将高频时钟信号转化为低频音调信号。
它由4个16进制计数器74161构成。
分频出八个不同的音调频率。
2. 模块二:选频器。
作用是选出所需要的频率,即选出八个调中的一个,它先用74148把八个信号编码成三位二进制数,再用八选一数据选择器74151把我们要用的信号选出来。
3. 整形输出器。
作用是把选出来的波形转化为声音频率波形。
用555定时器先把波形整形再用扬声器放出来。
这样虽然比较直观,逻辑器件分工鲜明,思路也比清晰,一目了然,但是由于元器件种类、个数繁多,而过于复杂的硬件电路也容易引起系统的精度不高、2八音电子琴的设计体积过大等不利因素。
例如八个不同的音符是由八个不同的频率来控制发出的,而采用方案一就可以运用不同的分频器来对信号进行不同程度的分频。
8键电子琴的设计
![8键电子琴的设计](https://img.taocdn.com/s3/m/1e10ab71f46527d3250ce004.png)
简易电子琴设计说明一、方案选择1、任务要求1)、任务:设计并制作一个能完成电子琴基本功能的电路。
2)、技术要求:①发生器件为8Ω、0.25W动圈式扬声器;②设置至少八个音符的按键;③+5V稳压电源供电。
3)、发挥要求:①增加演奏三首固定乐曲的按键;②增加其他音乐效果;③固定乐曲演奏计时。
2、设计方案用AT89C51单片机为核心控制元件,设计一个简易的电子琴. 本方案以AT89C51单片机作为主控核心,并与键盘、扬声器等模块组成,设有16个按键和一个扬声器.根据使用者的操作随意弹奏想要表达的音乐。
一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样方波频率信号,其中T0用来产生音频频率,T1用来产生音调。
,因此,我们只要把一首歌曲的音阶对应频率关系弄正确即可。
通过对单片机系统的学习和认识,我们可以通过AT89C51可以完成此建议电子琴的任务,因为我们学过并且有很多的资料里,但是使用AT89C51单片机做此电子琴是我们的不二选择,因为我们的了解和接触最多的就是AT89C51,别的型号的芯片我们不熟,所以AT89C51是个很好的选择。
AT89C51单片机有128B的数据存储器RAM,对于一般的小型应用系统已经够用,对需要存放大量数据的系统,就需要扩展数据存储器。
作为数据存储器的使用有静态读/写存储器SRAM,动态读写存储器DRAM和E²PROM存储器等。
单片机一般用SRAM扩展数据存储器。
本次设计共有十六个键,由于在音阶中“0”不代表任何音阶,所以,没有使用“0”键。
其余15个键是发生键,按键时间长发生长,按键时间短发生短;按“唱歌键”后,再按“1”键或“2”建….“14”键,可播放14个键所代表的14首歌曲;按“1”到“F”键后,可发出低、中音哆、来、咪、发、梭、拉、西、哆。
11八音电子琴
![11八音电子琴](https://img.taocdn.com/s3/m/470e3f6148d7c1c708a14574.png)
燕山大学EDA课程设计报告————八音电子琴姓名:专业:班级:学号:一设计题目:八音电子琴二设计要求1.能发出1,2,3,4,5,6,7和1共八个音;2.用按键作为键盘;3.C调到B调的对应频率为:三设计内容:(一)设计方案八音电子琴由三个模块组成。
1.模块一——时钟信号分频器。
作用:是将高频时钟信号转化为低频音调信号。
(图一)2.模块二——选频器。
作用:选择所需要的频率。
(图二)3.模块三——整形输出器。
作用:将选频器输出波形转化为声音频率波形。
(图三)(二)设计原理1.时钟信号分频器:它是由4个16进制计数器74161和八个与非门构成。
先用4个74161接成65536进制的计数器,再从其数据输出端通过与非门连接成8个不同进制计数器。
但它们并不直接接回LD端,而是在最后总的电路图中由t触发器的ld段反馈,在这里直接作为分频器输出。
由于选中的时钟频率为6MHz。
而计数器有分频的作用,N进制的计数器的进位输出信号的频率就为时钟频率的N分之一。
所以分频器的作用就是把高频时钟频率转化为低频音调频率。
每个音对应的计数器为:通过进制数计算得出下:(图一)2第二个模块是:选频器。
它由一个8线——3线编码器74148和一个8选1数据选择器74151构成。
电子琴的八个按键就是74148的八个输入端分别对应八个in0至in7,即分别对应八个音调——1,1,2,3,4,5,6,7。
这八个按键弹起时是高电平,按下时是低电平。
任一键按下,即从74148的输出端以(111,110,101,100,011,010,,001,000)二进制码译出。
而74148的3个输出端A2N, A1N,A0N分别与74151的3个地址端C,B,A相连。
由于74148是低电平有效,而74151是高电平有效,所以中间分别接了3个非门。
从而74151的三个地址端便以(000,001,010,011,100,101,110,111)接收。
这样便从与分频器输出端相连的74151的8个输入端0,1,2,3,4,5,6,7之一选中,作为数据输出,并接回到分频器的LD端。
《电子设计》简易电子琴
![《电子设计》简易电子琴](https://img.taocdn.com/s3/m/7c54c9aa710abb68a98271fe910ef12d2af9a9fc.png)
《电子设计》简易电子琴1、设计任务本次的设计任务是设计一款简易电子琴,其功能是能够通过使用者交互完成播放两个八度声音与音乐的目的。
2、设计方案2.1设计框图本次设计共有两种方案。
第一种方案使用STC89C52RC 单片机。
通过独立按键完成输入,通过扬声器完成声音的输出。
其设计框图如下:图1:方案一硬件框图第二种方案使用STC8G1K08单片机。
通过触摸按键结合单片机ADC 完成输入,通过TC8002功放电路完成声音的输出。
其设计框图如下:图2:方案二硬件框图2.2 各模块设计2.2.1 电源设计(例如)方案一使用的是STC89C52RC 单片机,其工作电压为5V ,通过引脚与5V 外部电源连接即可完成供电。
方案二使用的是STC8G1K08单片机,其工作电压也是5V ,通过TYPEC 接口完成供电。
原理图如下图所示:图3:方案二电源设计2.2.2 输入电路设计方案一与方案二使用两种不同的输入方式。
方案一使用共阴极接法的独立按键与单片机引脚连接,通过单片机检测按键是否被按下完成输入检测。
其原理图如下图所示:图4:方案一输入电路方案二使用触摸检测电路完成输入功能。
使用者接触触摸按键时会改变该电路的电容,使单片机ADC 引脚接收的数据发生改变,进而达到输入功能。
其原理图如下图所示:图5:方案二输入电路2.2.3 扬声器与功放电路两种方案播放声音的设备都是喇叭,但驱动电路不同。
方案一使用的三极管放大电路,其原理图如下图所示:图6:方案一扬声器驱动电路方案二使用功放芯片TC8002完成扬声器的驱动。
该芯片是一颗带关断模式,专为大功率高保真的应用场合所设计的音频功放IC。
它所需外围元件少且在2V~5V的输入电压下即可工作。
它的管脚图如下图所示:图7:TC8002管脚排列图经查看该芯片手册设计的功放电路图如下图所示:图8:功放模块电路图2.2.4 其余电路设计除以上两种模块,还有其余的模块电路如方案一的晶振电路,复位电路,方案二的供电提示电路等。
数字电路课程设计 八音电子琴
![数字电路课程设计 八音电子琴](https://img.taocdn.com/s3/m/808f19e04afe04a1b071ded5.png)
数字电路课程设计八音电子琴一.设计题目及设计要求设有8个模拟音键,不同的按键通过键盘编码其产生相应的按键编码,用按键编码信号控制音调发生器的输出信号频率,从而实现电子琴的功能。
要求设计键盘编码器、音调发生器的硬件电路。
二设计思路设计的总体框图如下主要解决键盘编码器和音调发生器的问题三设计过程及内容1.设计分析与构成设计总体由基准时钟,音调发生器和键盘编码器三大部分组成,并且用示波器代替扬声器以体现在不通按键下的频率不同。
模块一:键盘编码器部分,模块二:音调发生器部分。
2.设计原理及实现过程2.1 键盘编码器键盘编码器使用一块74LS148二进制优先编码器,74LS148引脚及功能图如下当OE输入IE=1时,禁止编码、输出(反码):A2,A1,A0为全1。
当OE输入IE=0时,允许编码,在I0~I7输入中,输入I7优先级最高,其余依次为:I6,I5,I4,I3,I2,I0,I0等级排列。
全功能表如下:键盘编码部分实现对键盘的8-3编码,并在按键时选择输出相应频率的信号。
开关加上非门接入3-8编码器的输入端,使开关闭合时其输入为0,利用3-8编码器使输入0的位置以二进制形式输出,其连接图如下:2.2 音调发生器在输入4MHZ 5V脉冲信号的作用下完成八个状态的循环进行,相当于一个计数器,采用两片74LS161实现一计数器,从00000000到11111111其输出端,第一片上的Q0.Q1.Q2.Q3.及第二片上的Q4.Q5.Q6.Q7为八个音的输出。
两片74LS161级联连接图2.3综合设计电路的实现八音电子琴的总体设计思路框图如下:把3-8编码器的输出与8选1数据选择器的输入相连,将按键位置的二进制形式输入8选1数据选择器,从而决定输出信号,通过8选1数据选择器的输出端输出信号。
8选1数据选择器74LS151的引脚功能图如下:电路设计已经基本完成,用Multisim软件仿真的总电路图如下:四运行结果1.未闭合开关时的波形:2闭合开关1的波形3 闭合开关2的波形4闭合开关3的波形5 闭合开关4的波形6闭合开关5的波形7闭合开关6的波形8闭合开关7的波形9闭合开关8的波形功能分析:通过波形图可知,通过按下不通的按键可以得到不通频率的波形,用扬声器来显示即不通频率的声音,基本实现了八音电子琴的功能。
基于51单片机的简易电子琴设计
![基于51单片机的简易电子琴设计](https://img.taocdn.com/s3/m/5726f8ac1711cc7930b71603.png)
基于51单片机的简易电子琴设计一、设计任务及要求1、在该简易电子琴设计中,设置8个按键,8个按键可以发出do、re、mi、fa、sol、la、si、Do 8个音阶。
2、设计三个拨码开关,三个拨码开关可以调节高音、中音、低音三个音调。
3、画出电路的总体方框图和电路原理图。
二、设计原理音乐由许多不同的音阶组成的,而每个音阶对应着不同的频率,这样,我们就可以利用不同的频率组合,构成我们想要的音乐。
简易电子琴是摁下拨码开关时,单片机AT89C51会发出声音,声音从端口经过LM386,经过放大以后传入喇叭。
声音主要是经过单片机4×4矩阵键盘的按键产生,这里只用到8个按键来产生高中低的8个音阶,来产生do re mi fa sol la si Do。
下面是计数初值:#6LA# 466 1072 64463 高3MI 1318 372 65157低7SI 494 1012 64524 高4FA 1397 358 65178中1DO 523 0956 64580 #4FA# 1480 338 65198#1DO# 554 0903 64633 高5SO 1568 319 65217中2RE 578 0842 64684 #5SO# 1661 292 65235#2RE# 622 0804 64732 高6LA 1760 284 65252中3MI 659 0759 64777 #6LA# 1865 268 65268中4FA 698 0716 64820 高7SI 1976 253 65283 三、设计方案本次设计的电子琴主要是利用AT89C51单片机为核心控制元件,同时还包括键盘、拨码开关和扬声器等控制模块,由键盘选择八个音阶。
1、电路原理图的总体设计总体电路需要c51单片机一片,音乐按键及喇叭等外围电路,要进行音调控制和音频放大,设计好的电路图如下图所示:2、键盘控制模块的设计矩阵按键部分由8个轻触按键按照2行4列排列,连接到P3端口。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
b)
元器件参数计算 选频网络中,显然会������1 ≠ ������2 ,且������1 ≤ ������2 (8) 由上式可得式(9)F =
1
������ 2+ 1 ������ 2
≈
1 2 ������������ 1 +������������ 2 ������������
则由式(8)及起振条件|A·F|>1, 可得: A=1+
宽、 线间距都过小, 最后焊接可能有虚焊、 短焊的现象, 线与线间也可能有干扰, 这都十分致命。其次实验室的 PCB 印制设备标准不高,在显影的过程中就有覆 盖膜层被过度退膜的现象,导致蚀刻时一些裸露铜线被错误蚀去,电路就会因此 断线。 ②电路元器件选择不够精确,在选用电阻阻值时我们选用的阻值偏差有 50 Ω 至 150Ω,电路参数精度不高使选频出现问题,比如反馈系数不为 3,可能因 此会出现无法满足自激振荡等。
1
������ ������ ������ 3+ − 0 ������ 0 ������������
, φ������ =
−������������������������������
3
;
1 1
当������ = ������0 = 2������������������ ,幅频响应 ������ = 3最大,相频响应φ������ = 0。 即当������ = 2������������������ 时,输出电压的幅值最大,并且输出电压 ������������ 是输入电压������ ������ 的3 ,输出 电压与输出电压同相。通过该 RC 串并联选频网络,可以选出频率稳定的正弦波 信号,也可通过改变 R、C 的取值,选出不同频率的信号。 (三)振荡条件 1. 自激振荡:图 2 所示为无外加信号的正弦波振荡电路,其中A,F分别为放大器
0.1uF 2.2uF 0.22uF 22uF 100uF 1N4148 10KΩ 3W LM324N LM1875T
50 4 4 4 8 8 4 1 6 4
附:元器件清单
元件名称 开关 电阻 电阻 电阻 电阻 电阻 电阻 电阻 电阻 电阻 电阻 2脚 1MΩ 22KΩ 20KΩ 2KΩ 2.7KΩ 3KΩ 5.1KΩ 800Ω 1000Ω 500Ω 规格 20 2 2 2 30 10 10 20 20 30 30 数量
普通电容 普通电容 普通电容 极性电容 极性电容 二极管 电位器 扬声器 芯片 芯片
1 1
图 2 正弦波振荡电路 2. 振荡的建立与稳幅:自激振荡的初始信号一般较小,为了得到较大强度的稳定波 形,起振条件需满足|A·F|>1。所以设置负反馈强弱������������ ,开始时使������������ = 1 + ������������ 略
1
������
大于 3,此时满足起振条件 ������ ∙ ������ >1。 为了保证信号不会因为幅度一直放大而使放大器工作在非线性区,使信号出现 非线性失真,故在放大电路中使用非线性元件自动降低增益������������ ,具体措施可以 将������������ 使用负温度系数热敏电阻替代, ������ ������ 增大,由于热效应热敏电阻阻值减小, ������������ 减小,最终会使������������ = 3达到自激振荡,此时输出电压 ������ ������ 视为恒定。
课程作业设计
课程名称:模拟电子技术 专业名称:电子信息工程 班 级:电子 1402 班
学生姓名: 任课教师:刘珺
2016 年 6 月 22 日
基于 RC 桥式振荡电路的八音阶电子琴设计
电子信息工程 1402 班
一、
设计指标
我们准备用模拟电路的知识实现 8 音阶电子琴的功能,功能指标如下: ①电子琴可以调节音量; ②电子琴具有和弦效果,就是同时按下多个键能发出和音
三、 硬件设计
a)
电路设计 总体以 RC 桥式振荡电路为核心(运算放大器芯片选择 LM324) ,使用 LM1875T 集成功率放大芯片对低功率音频信号放大,电阻、蜂鸣器(扬 声器)和导线等外围器件构成完整的电子琴。使用时可以闭合多个开关, 用于稳幅的热敏电阻, 在实际电路中,常用两个二极管与电阻并联替代。 电路的初始信号是由电路环境噪声提供的。为了保证芯片及电路的正常工 作,严格按照使用手册了解外部引脚的接发。而音频信号的混合可以使用 同向比例求和电路。
图 7 实物图
图 8 最终 PCB 图
四、 实验分析报告
最后我们的实验没有成功,情况如下,小组在 PCB 上焊接好元器件后,我们先 选取一组音阶的单元电路进行输出信号测试,即测试未经功放放大的信号波形, 但是实际的波形正如图 6 所示,输出的只是高频噪声。 我们认为我们的选取原理没有错误,但是具体方案存在诸多不足,列举如 下: ①选用 PCB 板实现电路不合适,我们在 PCB 板绘制中碰到许多麻烦,由原理图 导出 PCB 图时,电路的布局不当导致我们的 PCB 的面积利用率过低,何况还是 单层板,最后我们不得手动更改布线,所以不排除修改后 PCB 图存在线路缺失 的可能。另一方面,对于 PCB 板中焊盘的设置,我们存在经验不足的问题,对 于焊盘大小没有概念,设置 60mil (1mil=0.0254mm),导致我们的焊盘过小,线
表 1C 调八度音阶对应频率
2. 音频信号产生
(一)
音频信号产生原理 模拟电路中的������ ������ 是一个 RC 串并联网络,具有选频特性。������������ 为由集成运放所组成
的电压串联负反馈放大电路,二者配合产生目标频率正弦波。达到在输出稳定频 率的正弦波前,信号经过了选频和放大两个阶段。
������ ������
1
������
������
激 振 荡 必须 满足 以 下条 件 : 振 幅 条 件 : ������ ∙ ������ = 1 ; 相 位 条 件: φ������ + φ������ = 2������������,������ ∈ ������ 而所以我们使用的 RC 桥式选频网络仅在������0 = ������������ 时,有φ������ = 0和φ������ + φ������ = 0, 满足相位条件,这才可能自激振荡,而此时 ������ = 3,为了满足 ������ ∙ ������ = 1,应设 置放大器 ������ = 3,而对于其他频率成分,会在选频网络中得到衰减,最终为 0, 从而达到稳定正弦波。
图 1RC 桥式正弦波振荡电路
(二)
RC 串并联选频网络 RC 串并联选频网络可以选出特定频率的信号,其理论推导如下: R1 与 C1 串联时阻抗:������1 = ������1 + ������������ ������ ; R2 与 C2 并联时阻抗:������2 = ������2 ∥ ������2 =
; ; 所 以 ������ ������ =
32 + 1
������ ������ 0 2 − ������ 0 ������
再 令 ������0 = ������������ , ������0 =
������ ������ 0 − ������ 0 ������
= 2������������������ 得 , ������ ������ =
二、
设计思路
1. 基本乐理 我们的乐理知识有限, 我们在这就认为一个音阶乐音对应一个固定的频率 的声音信号, 而我们在音谱中选择在以 C 调为基准音的八度音阶, 所对应 的频率大致如表 1 所示。
音阶名 哆 (C)
f/Hz 264 297 330 352 396 440 495 528
瑞
咪
发
梭
拉
西
升哆
1
1
������2 1+������ ������ ������2 ������2
选频特性:������ ������ =
1
������������ ������������
= ������
������ 0 2������
������2
2 +������1
=
1
������ 2 1+������ ������ ������ 2 ������ 2 ������ 2 1 +������1 + 1+������ ������ ������ 2 ������ 2 ������������ ������ 1
1 1
回路和反馈网络的放大系数。图 2 中若去掉������������ ,电路中存在噪声,频谱很广,也 会包含 ������0 = ������������ 这样一个频率成分。显然,只要满足 ������������ 与 ������������ 大小相位一致,即 AF = ������������ ∙ ������������ = 1,由于反馈信号的补偿作用,仍有信号输出,形成自激振荡,故自
根据式(8)、式(10)、式(11),再结合表 1 的频率数据,并且可以通过软件 仿真确定电路中的元器件参数。 需要注意的是, 在确定 R2 内部电阻值时,
应该从 R21 开始,逐个进行。
c)ห้องสมุดไป่ตู้
电路仿真与 PCB 设计 图 3 电路仿真电路图 图 4 电路仿真波形图图 5 和弦
图 6 示波器实际电路波形图
≥ 2,即������������1 + ������������2 ≥ ������������
(10) 。 所以������������1 、������������2 、������������ 的选取应满足式(9), 但实际取值时, 应让������������1 略 小于������������ 。������������2 的取值也应适当,以满足式(6),实现自激振荡。选频网络的 频率推导公式为: (11)������0 = 1 2������������ ������1 ������2