篮球赛记分牌设计
篮球记分牌方案

按键 k4
uchar code tab[]={0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09};
void main()
{
uchar k1=0;
while(1)
{
if(P10 == 0)
{
k1 += 1;
分数加 1
while(P10 == 0);
}
if(P11 == 0)
同键盘一样,显示器也是人机交流的重要组成部分。计算机的运行结果和运行 状态可以通过显示器显示出来。单片机应用系统中常用的显示器有 LED 和 LCD 两种 方式。LED 显示最为普遍,发光二极管 LED(Light Emitting Diode)是一种通电后 能发光的半导体器件,其导电性质与普通二极管类似。LED 数码显示器就是由发光 二极管组合而成的一种新型显示器件,但是由于低功耗的要求,LCD 显示器越来越 被广泛地使用。
功能分析:
根据前面的设计的任务的要求,本设计任务主要具有以下几个模块:单片机模 块;按键模块;数码显示模块。本设计由单片机模块部分植入主程序,通过按键部 分进行加1、2、3分以及减1分操作,最终在2个LED数码管上显示结果。本系统采用 单片机98S51作为本设计的核心元件。利用七段共阴极LED数码管作为显示器件。在 此设计中共接入4个七段共阴极LED显示器,用于计录甲、乙两队的分数,每队2个LED 显示器显示范围可达到0~99分,足够满足赛程要求。其次,为了配合计时器和计分 器校正、调整时间和比分,我们在设计中设立了个按键。用于改变甲、乙两队的分 数.
显示部分:
本设计显示部分采用的是 7 段共阳 LED 数码管显示,LED 就是发光二极管,相 对 LET 来说它的优势在于节能环保,保护视力,使用寿命长等优点。数码管实际上 是由 7 个发光管组成 8 字形构成的,加上小数点就是 8 个。我们分别把他命名为 A,B,C,D,E,F,G,H,如图 3 所示。
篮球记分牌设计

篮球记分牌1、项目名称:单片机篮球记分牌2、项目要求:实现为比赛的两个队计分,计时,中场暂停时蜂鸣器报警功能。
其中,加分可以实现每次加一分,两分和三分。
每个队加分时都有相应的指示灯点亮。
3、设计内容本设计是基于AT89C51单片机的篮球计时计分器,利用7段共阴LED作为显示器件。
在此设计中共接入了1个四位一体7段共阴LED显示器,1个四位一体7段共阴LED显示器,一个用来记录赛程时间,每次计时时间设置为2分钟。
另外一个数码管用于记录甲乙队的分数,每队2个LED显示器显示范围可达到0~99分。
赛程计时采用正计时方式,比赛开始时启动计时,直至计时到到2分钟为止。
其次,为了配合计时器和计分器校正调整时间和比分,我们特定在本设计中设立了四个按键,用于加一分,加两分,加三分和切换甲乙两队的加分等功能。
采用单片机控制是这个系统按键操作使用简洁,LED显示,安装方便。
本篮球计时计分器主要包括单片机控制系统、计时显示模块、计分显示模块、定时报警,按键控制键盘模块。
通过这几个模块的协调工作就可以完成相应的计时计分控制和显示功能。
这四个模块的相互连接如下图(图1)所示:4、芯片简介:AT89C51是一种带4K字节闪存可编程可擦除只读存储器(FPEROM—Flash Programmable and Erasable Read Only Memory)的低电压、高性能CMOS 8位微处理器,俗称单片机。
AT89C2051是一种带2K字节闪存可编程可擦除只读存储器的单片机。
单片机的可擦除只读存储器可以反复擦除1000次。
该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。
由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,AT89C2051是它的一种精简版本。
AT89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。
篮球赛记分牌设计

篮球赛记分牌设计前言篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。
现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。
随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。
篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。
篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。
由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。
这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。
篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。
1 系统总体设计方案随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。
本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。
51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。
采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。
EDA篮球比赛电子记分牌设计说明

长沙学院课程设计说明书题目篮球比赛电子记分牌设计一、课题名称 (2)二、............................................. 设计容 2三、设计思路 (2)1、整体设计思路: (2)2、整体设计流程: (2)四、设计说明 (2)1、设计程序 (3)2、引脚分配设置: (9)3、功能描述 (10)五、设计心得 (10)六、参考文献 (11)一、课题名称篮球比赛电子记分牌设计二、设计容设计一个篮球比赛记分牌,使用4位数码管显示倒计时的分钟、秒钟值;2位数码管显示A方得分;2位数码管显示B方得分;使用LED灯作为上、下半场的标志;单次加分值包括1分、2分和3分;半场时间到输出3秒的声光提示;可进行比赛暂停、比赛加时等操作;终场时间到输出 5 秒的声光提示。
三、设计思路1、整体设计思路:根据设计容,可将设计将分为五个模块来设计:分频计数模块、时间模块、加分模块、动态扫描显示模块、LED灯模块。
2、整体设计流程:(1)、分频计数模块:通过分频模块,将试验箱自带的50MHZ勺频率分频得到1MZ 以及1HZ的频率(2)、时间模块:将计时器的分钟、秒钟,通过借位的方式设计成40分钟的倒计时时钟(3)、加分模块:通过拨盘开关的选择,输入 A B队的比赛得分(4)、动态扫面显示模块:通过1MHZ的频率,将时间以及A、B队的比赛得分同时扫描显示在数码管上(5)、LED灯模块:对上下场的显示,以及比赛结束的灯亮延长显示四、设计说明led_h_e nd:out std_logic;半场结束延时亮灯输出led_f_e nd:out std_logic);全场结束延时亮灯输出1、设计程序 library ieee; use ieee.std_logic_1164.all;use ieee.std_logic_ un sig ned.all; use ieee.std_logic_arith.all; en tity baseketball is port(clk:in std_logic; dui jia fen xin hao start,addtime,add1_A,add2_A,add3_A,add1_B,add2_B,add3_B: in std 」o gic;--A\B 比赛开始,A.B 队加分信号 stop:i n std_logic; 比赛暂停 led7s_selout:out std_logic_vector(7 dow nto 0);- 数码管位选 led7s:out std_logic_vector(6 dow nto 0); --7 上半场亮灯显示 段数码显示管 led_up:out std_logic;led_dow n:out std_logic;下半场亮灯显示 end; architecture one of baseketball is con sta nt time_m :in teger:=9; 时间分位初始化con sta nt time_s :in teger:=59; 时间秒位初始化 signal time_m0:integer range 0 to 9;- 分位时间围signal time_s0:integer range 0 to 59;-- 秒位时间围 sig nal cout_A,cout_B:i nteger range 0 to 99;-- sig nal clk0_1,clk0_1M:std 」ogic; --1HZ\1MHZ 比分计数围 信号sig nal q0_1:i nteger range 0 to 24999999; --1HZ 分频计数围 sig nal q0_1M:i nteger range 0 to 25; --1MHZ 分频计数围sig nal half_e nd,full_e nd,add_e nd:std_logic;-- 上下半场结束信号 signal r,a,b,c,d,e,f,g,h:integer range 0 to 9;-- 扫描显示加载信号 sig nal q1:i nteger range 0 to 7; 动态扫描显示信号围sig nal q_3:i nteger range 0 to 3; --s 半场比赛结束延时提示 sig nal q_5:i nteger range 0 to 5; 全场比赛结束延时提示 sig nal q_t_m:i nteger range 0 to 1;- 秒借位sig nal q_t_s:i nteger range 0 to 1;- 分借位 begin process(clk) -- 1MHZ 频率beginif clk'eve nt and clk='1' the nif qO_1M=25 thenq0_1M<=0;clk0_1M<=not clk0_1M;else qO_1M<=qO_1M+1;end if;end if;end process;process(clk) -- 1HZ 频率beginif clk'eve nt and clk='1' the nif q0_仁24999999 thenq0_1<=0;clk0_1<=not clk0_1;elseq0_1<=q0_1+1;end if;end if;end process;process(clk0_1,clk)-- 时间模块beginif clk0_1'eve nt and clk0_1='1' the nif start='1' the nif half_e nd='O' and full_e nd='O' thentime_s0<=time_s;led_up<='1';if time_m0=0 and time_s0=0 the ntime_m0<=time_m; led_up<='0';half_e nd<='1';elsif time_s0=0 thenq_t_m<=1; time_mO<=time_mO-q_t_m;elseq_t_s<=1;time_sO<=time_sO-q_t_s;end if;end if;if half_e nd='1' and full_e nd='O' thentime_s0<=time_s;led_dow n<='1';if time_m0=0 and time_s0=0 the ntime_m0<=time_m;led_dow n<='0';full_e nd<='1';elsif time_s0=0 the nq_t_m<=1;time_m0<=time_m0-q_t_m; elseq_t_s<=1; time_s0<=time_s0-q_t_s;end if;end if;end if;if addtime='1' and full_e nd='1' the n--比赛计时if add_e nd='O' the ntime_s0<=time_s;if time_m0=0 and time_s0=0 the ntime_m0<=time_m;add_e nd<='1';elsif time_s0=0 the nq_t_m<=1;time_m0<=time_m0-q_t_m; elseq_t_s<=1; time_s0<=time_s0-q_t_s;end if;end if;end if;if stop='1' the nhalf_e nd<='0';full_e nd<='0';add_e nd<='0:end if;end if;end process;process(add1_A,add2_A,add3_A) --A begin if add1_A='1' the ncout_A<=cout_A+1;end if;if add2_A='1' the ncout_A<=cout_A+2;end if;if add3_A='1' the ncout_A<=cout_A+3;end if;if stop='1' the ncout_A <=0;end if;end process;process(add1_B,add2_B,add3_B) --B begin if add1_B='1' thencout_B<=cout_B+1;end if;if add2_B='1' the ncout_B<=cout_B+2;end if;if add3_B='1' the ncout_B<=cout_B+3;end if;if stop='1' the n对加分模块对加分模块cout_B <=0;end if;end process;process(clkO_1M,q1)beginif clkO_1M'eve nt and clk0_1M='1' the nif q1=7 the nq1<=0;else q1<=q1+1;end if;end if;end process;process(q1,a,b,c,d,e,f,g,h)-- 动态扫描显示模块begina<=time_m0/10;b<=time_mO rem 10;c<=time_s0/10;d<=time_s0 rem 10;e<=cout_A/10;f<=cout_A rem 10;g<=cout_B/10;h<=cout_B rem 10;case q1 iswhen 0 => Ied7s_selout<="00000001"; r<=a;when 1 => Ied7s_selout<="00000010"; r<=b;when 2 => Ied7s_selout<="00000100"; r<=c;when 3 => Ied7s_selout<="00001000"; r<=d;when 4 => Ied7s_selout<="00010000"; r<=e;when 5 => Ied7s_selout<="00100000"; r<=f;when 6 => Ied7s_selout<="01000000"; r<=g;when 7 => Ied7s_selout<="10000000"; r<=h;whe n others => n ull;end case;end process;process(r)begincase r iswhen 0=>led7s<="1000000"; whe n 1=>led7s<="1111001";when 2=>led7s<="0100100";when 3=>led7s<="0110000";when 4=>led7s<="0011001";when 5=>led7s<="0010010";when 6=>led7s<="0000010";when 7=>led7s<="1111000";when 8=>led7s<="0000000";when 9=>led7s<="0010000";when others => n ull;end case;end process;process(clk0_1,half_e nd,full_e nd)-- 上下半场灯亮延时提示beginif clk0_1'eve nt and clk0_1='1' the nif half_e nd='1' thenif q_3=3 thenled_h_e nd<='0';else q_3<=q_3+1;led_h_e nd<='1';end if;end if;if full_e nd='1' the nif q_5=5 the nled_f_e nd<='0';else q_5<=q_5+1;led_f_e nd<='1';end if;end if;if stop='1' the nq_3<=0;q_5<=0;end if;end if;end process;en d;2、引脚分配设置:3加载程序至试验箱,打开拨盘开关1比赛开始,LED灯1灭,进行上半场比赛,8个数码显示管依次显示09590000,比赛时间一共10分钟,前四位为时间显示每一秒减计数一次;第五位和第六位为A对比赛分值,当拨动拨盘开关3、4、5时,数码管相应显示加1分、2分、3分;第七位和第八位为B对比赛分值,当拨动拨盘开关6、7、8时,数码管相应显示加1分、2分、3分;打开把盘开关2,比赛暂停,恢复以后比赛继续进行。
课程设计--篮球比赛计分牌设计

电子技术课程设计题目:篮球比赛计分牌设计专业:电气工程及其自动化班级:姓名:`````学号:23指导老师:小组成员:成绩:篮球比赛数字计分牌的设计摘要随着科技的发展和人们生活水平的逐渐提高,各种有利于生活的电子产品开始逐步进入人们的生活。
数字记分牌的出现则代替了记分员人工翻动记分牌累计积分的繁琐劳动,使各种比赛进入了更智能、更高效、更精准的人机互动时代,在各种比赛中具有重要意义。
本文介绍了基于数字电路的篮球数字计分牌的设计,在硬件方面使用三片四位二进制加法器 74LS161分别组成 1,2,3 进制计数器,用三个开关分别进行 1分,2 分,3 分的计数,然后把数值通过逻辑电路输入到十进制加/减计数器74LS192进行总分的累加。
使用两个七段LED管进行显示十位,个位, LED采用的七段数码显示,用74LS48芯片进行驱动。
软件方面使用Multisim对可逆计数器进行仿真,整个系统能够对篮球比赛过程中比赛分数进行计数累加,实现对整个比赛的计分。
关键字:数字记分器;LED 数码管;计数器;仿真目录一、设计项目与目的二、设计方案及原理 (2)三、单元电路得设计 (10)1 二进制加法计数器74LS161 (10)2 十进制可逆计数器74LS192 (11)3 七段数码显示管 (11)4 七段显示译码器74LS48 (11)四、电路元件清单 (12)五、电路设置及仿真1 电路设置 (11)2 电路仿真 (11)六、总结及心得一、设计任务与目的设计一个篮球比赛数字计分器,掌握数字计分器的工作原理和设计方法。
要求:(1)分别记录两队得分情况;(2)进球得分加2分,罚球进球得分加1分;(3)纠正错判得分减2分或1分;(4)分别用三个数码管显示器记录两队的得分情况。
设计目的:(1)熟悉中规模集成可逆计数器,译码器和显示器的功能;(2)培养创造性地运用所学知识进行数字系统设计的能力和兴趣;(3)了解数字系统的实验及调试方法,以及一般故障的排除方法。
12864篮球比赛记分牌课程设计报告

长沙学院《单片机原理及应用》课程设计说明书题目篮球比分计分牌系(部) 电子与通信工程系专业(班级) 光电信息工程二班姓名龙敏学号2010041213指导教师刘辉、王新辉起止日期2012/12/10-2012/12/21《单片机原理及应用》课程设计任务书9系(部):电信系专业:2010级光电信息工程指导教师:王新辉、刘辉长沙学院课程设计鉴定表目录1. 课程设计任务书 (6)2. 系统总体方案选择与说明 (6)3. 系统结构框图与工作原理 (7)4. 各单元硬件设计说明及计算方法 (7)(1) 12864显示器 (7)(2)八位独立按键模块 (8)(3)51单片机定时器及初值计算方法 (8)5. 软件设计与说明(包括流程图) (8)6. 调试结果与必要的调试说明 (9)(1)实物图 (9)7. 使用说明 (10)8. 程序清单 (10)(1)比分倒计时子程序 (10)(2)加减分子程序 (11)9. 课程设计体会 (12)10. 参考文献。
(13)1. 课程设计任务书设计一个基于AT89S52单片机的篮球比赛记分牌,用12864液晶屏显示信息。
课题要求: (1)、启动时12864液晶屏第一行显示的内容是:比赛双方的队名。
第二行显示的内容是:比分为000:000。
第三行显示的内容是:本节剩余时间、进攻24秒倒计时。
第四行显示的内容是:设计者的姓名、班级和学号。
(2)设置如下按功能键,实现相应控制功能2. 系统总体方案选择与说明采用单片机和12864液晶显示电路实现该方案以单片机为核心,作为控制模块,并以12864液晶为显示模块,由单片机自带的时钟电路和定时器来实现计时,由于篮球比赛的规则较多,故以独立按键来输入需要控制的对象,由单片机的P1口来接键盘,液晶的数据线接在P0口相应的口线上。
如图2—1。
单片机实现系统框图2—13.系统模块层次结构图3—1 4. 各单元硬件设计说明及计算方法 (1) 12864显示器12864液晶显示模块是128×64点阵的汉字图形型液晶显示模块,可显示汉字及图形,内置8192个中文汉字(16X16点阵)、128个字符(8X16点阵)及64X256点阵显示RAM (GDRAM )。
篮球比赛数字记分牌-数字电路

目录一、设计任务与要求 (1)二、设计中用到的元件清单 (1)三、总体方案的设计 (1)四、模块设计 (2)五、单元电路的设计 (3)六、总体电路图 (8)七、电路工作原理 (8)八、电路组装与调试 (8)九、分析与心得 (9)十、参考文献 (9)题目:篮球比赛数字记分牌一、设计任务与要求设计篮球比赛数字计分牌,以达到以下要求:1.分别记录两队得分情况;2.进球得分加2分,罚球进球得分加1分;3.纠正错判得分减2分或1分;4.分别用三个数码管显示器记录两队的得分情况。
二、设计中用到的元件清单CD40192(可预置BCD加/减计数器(双时钟)) ---------------- 2个;CD4011或74HC00(四2输入与非门) ---------------------- 1个;CD4027 (双J-K触发器) ------------------------------- 1个;CD4511(BCD锁存,7段译码,驱动器) -----------------------2个;LED数码管 ------------------------------------------ 3个;按键开关 --------------------------------------------- 3个;二极管 1N4001 ---------------------------------------- 2个电容 104 ------------------------------------------ 2个;103 -------------------------------------------- 2个;电阻 47k -------------------------------------------- 1个;10kΩ ------------------------------------------ 5个;2kΩ ------------------------------------------ 14个;实验板一个;导线若干等。
篮球比赛记分牌

数字逻辑课程设计(学年设计、学年论文)任务书数字电路设计说明书学院名称:班级名称:学生姓名:学号:题目:篮球比赛记分牌电路设计指导教师姓名:起止日期:2014.12.15--2015.1.10第一部分:正文部分一、选题背景电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。
在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。
而对于体育的兴趣我就选择了篮球记分牌这个题目,所以有这样一个机会的我真的很兴奋同时我也有机会提升自己在数字电路中理论的能力.篮球比赛是根据参赛队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计时计分系统是一种得分类型的系统。
篮球比赛的计时计分系统由计时器、计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛计时计分系统设备应能够与现场成绩处理、现场大屏幕、电视转播车等多种设备相连,以便实现高比赛现场感、表演娱乐观众等功能目标。
随着科技的发展和人们生活水平的逐渐提高,各种有利于生活的电子产品开始逐步进入人们的生活。
数字记分牌的出现则代替了记分员人工翻动记分牌累计积分的繁琐劳动,使各种比赛进入了更智能、更高效、更精准的人机互动时代,在各种比赛中具有重要意义。
本次试验所设计的电路具有计分、减分及显示的功能。
当球队比赛得分时,用加法计分器通过控制分路加相应的分数。
如果裁判误判了,可用减法计数器减掉误判的分数。
设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192D。
74LS192D是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能。
用三片计数器和三个半导体数码LED进行对分数的统计和显示。
篮球比赛记分表模板

104
144
144
队员号码
队员姓名
号码
上场队员
个人犯规
25
25
65
65
105
105
145
145
1
2
3
4
5
26
26
66
66
106
106
146
146
4
27
27
67
67
107
107
147
147
5
28
28
68
68
108
108
148
148
6
29
29
69
69
109
109
149
149
7
30
30
70
70
110
篮 球 比 赛 记 录 表
甲队:乙队:
比赛名称:日期:时间:主裁判员:
比赛编号:比赛地点:副裁判员:
甲队:
累 记 分
暂停:
全队犯规
甲
乙
甲
乙
甲
乙
甲
乙
上半时
①
1
2
3
4
②
1
2
3
4
1
1
41
41
81
81
121
121
下半时
③
1
2
3
4
④
1
2
3
4
2
2
42
42
82
82
122
122
决胜期
3
3
43
43
83
篮球比赛数字计分牌课程设计

数字电子技术课程设计题目篮球比赛数字计分牌姓名:所在学院:所学专业:班级学号指导教师完成时间:摘要随着社会的发展、科技的进步以及人们生活水平的逐步提高各种方便于生活的电子产品开始进入人们的生活。
数字记分牌就是这样的一款电子产品它的出现代替了记分员手动翻动记分牌积分的繁琐劳动使各种比赛进入了智能、高效、精准的人机互动时代,在体育比赛中具有重要意义。
我们本次设计的项目是篮球比赛数字记分牌,用于对篮球比赛的比赛双方实时积分。
基于篮球比赛的特点,我们选取了专门的设计方案,用三个LED数码管显示比赛一方的得分,记分员可根据现场比赛得分情况实时记录各队的得分并及时反馈到LED数码管上。
关键词篮球比赛数字记分牌 LED数码管目录1 绪论 (2)1.1篮球积分牌的设计意义 (2)1.2设计目的 (2)1.3 系统的主要功能 (2)2 总体设计方案 (3)2.1设计要求 (3)2.2方案选择 (3)2.3方案框图 (4)3 设计步骤及原理 (5)3.1、单元电路设计与参数计算 (5)3.1.1.74192功能表 (5)3.1.2.74160功能表 (5)4 元器件的选择 (9)心得体会 (10)参考文献 (10)1 绪论1.1篮球积分牌的设计意义体育比赛计分系统是对体育比赛过程中所产生的时间,比分等数据进行快速采集记录,加工处理,传递利用的信息系统。
根据不同运动项目的不同比赛规则要求,体育比赛的计时计分系统包括测量类,评分类,命中类,制胜类得分类等多种类型。
篮球比赛是根据运动队员在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计时系统是一种得分类型的系统。
篮球比赛的得分系统由计时器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛计时系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相连,以便实现高比赛现场感,表演娱乐观众等功能目标。
1.2设计目的1、熟悉中规模集成可逆计数器,译码器和显示器的功能;2、培养创造性地运用所学知识进行数字系统设计的能力和兴趣;3、了解数字系统的实验及调试方法,以及一般故障的排除方法1.3 系统的主要功能1.有得1分、2分和3分的情况,电路要具有加、减分及显示的功能。
篮球比赛电子记分牌设计

课题名称篮球比赛电子记分牌设计设计内容及要求设计一个篮球比赛记分牌,使用4位数码管显示倒计时的分钟、秒钟值;2位数码管显示A方得分;2位数码管显示B方得分;使用LED灯作为上、下半场的标志;单次加分值包括1分、2分和3分。
系统提供50MHZ频率的时钟源。
完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。
设计工作量1、VHDL语言程序设计;2、波形仿真;3、在实验装置上进行硬件测试,并进行演示;4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。
进度安排起止日期(或时间量)设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料第2天设计方案论证第3天进一步讨论方案, 对设计方案进行必要的修正,方案确定后开始进行VHDL语言程序设计第4天设计VHDL语言程序第5天在实验装置上进行硬件测试,编写设计说明书编写设计说明书教研室意见年月日系(部)主管领导意见年月日目录一、概述................................................................ - 3 -1、EDA的介绍........................................................ - 3 -2、篮球比赛电子记分牌的介绍.......................................... - 3 -二、设计目的............................................................ - 4 -三、设计内容及要求...................................................... - 4 -四、设计思路............................................................ - 4 -五、单元模块设计........................................................ - 5 -1、分频模块.......................................................... - 5 -2、计时模块.......................................................... - 6 -3、计分模块.......................................................... - 7 -4、显示模块.......................................................... - 9 -5、消抖模块........................................................ - 10 -六、仿真结果及分析..................................................... - 14 -1、引脚分配图....................................................... - 14 -2、设计仿真图....................................................... - 15 -3、硬件测试........................................................ - 15 -4、仿真结果分析..................................................... - 15 -七、设计总结与心得..................................................... - 16 -八、参考文献........................................................... - 17 -一、概述1、EDA的介绍EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA课程设计——篮球球比赛计分器_2

<<电子设计自动化EDA技术>>课程设计报告题目: 篮球比赛记分牌姓名:院系:专业:学号:指导教师:完成时间: 年月日目录1 课程设计题目`内容与要求………………………1.1 设计内容1.2 具体要求2系统设计…………………………2.1 设计思路2.2 系统原理3 系统实现……………………………………………4 系统仿真……………………………………………5硬件验证(操作)说明………………………………6 总结…………………………………………………7参考书目……………………………………………一、课程设计题目、内容与要求1.1课程设计的题目: 篮球比赛记分牌1、1.2课程设计内容:2、根据比赛实际情况记录两队得分, 罚球进的1分, 进球的2分;3、记分牌要具有纠错功能, 能减1分、2分功能;4、利用3个译码显示管输出比赛的分;二、系统设计2.1设计思路:篮球比赛记分牌是记录两队比赛的得分情况, 并能够进行纠错功能;根据系统设计的要求, 篮球记分牌的电路原理框图如下:2.2 系统原理与设计说明系统各个模块的功能如下:1.D触发器电路模块实现翻转功能当出错时, 输出为1, 使电路回到上一个正确的状态。
2.4为二进制全加器电路模块实现加法计数功能。
3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态, 出错时将调用上一个正确状态。
4.二选一数据选择器电路模块用来控制移位寄存器5. LED数码管驱动电路模块三、系统实现各模块电路的源程序如下:1、D触发器电路模块及程序:set输入(Q=1), 清零应该可以用复位键reset吧(Q=0)。
library ieee;use ieee.std_logic_1164.all;entity sync_rsdff isport(d,clk : in std_logic;set : in std_logic;reset: in std_logic;q,qb : out std_logic);end sync_rsdff;architecture rtl_arc of sync_rsdff isbeginprocess(clk)beginif (clk'event and clk='1') thenif(set='0' and reset='1') thenq<='1';qb<='0';elsif (set='1' and reset='0') thenq<='0';qb<='1';elseq<=d;qb<=not d;end if;end if;end process;end rtl_arc;移位寄存器模块电路及程序:library IEEE;use IEEE.std_logic_1164.all;entity shft_reg isport (DIR : in std_logic;CLK : in std_logic;CLR : in std_logic;SET : in std_logic;CE : in std_logic;LOAD : in std_logic;SI : in std_logic;DATA : in std_logic_vector(3 downto 0);data_out : out std_logic_vector(3 downto 0) );end shft_reg;architecture shft_reg_arch of shft_reg issignal TEMP_data_out : std_logic_vector(3 downto 0);beginprocess(CLK)beginif rising_edge(CLK) thenif CE = '1' thenif CLR = '1' thenTEMP_data_out <= "0000";elsif SET = '1' thenTEMP_data_out <= "1111";elsif LOAD = '1' thenTEMP_data_out <= DATA;elseif DIR = '1' thenTEMP_data_out <= SI & TEMP_data_out(3 downto 1);elseTEMP_data_out <= TEMP_data_out(2 downto 0) & SI;end if;end if;end if;end if;end process;data_out <= TEMP_data_out;end architecture;3.二选一数据选择器电路模块及程序:entity mux isport(do,d1:in bit;sel:in bit;q:out bit);end mux;architecture a of mux isbeginq<=(do and sel)or(not sel and d1);end a;4.加法计数器的电路模块及程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY add4 ISPORT(a1,a2,a3,a4:IN STD_LOGIC;b1,b2,b3,b4:IN STD_LOGIC;sum1,sum2,sum3,sum4:OUT STD_LOGIC;cout4:OUT STD_LOGIC);END add4;ARCHITECTURE add_arc OF add4 ISSIGNAL cout1,cout2,cout3:STD_LOGIC;COMPONENT halfaddPORT(a,b:IN STD_LOGIC;sum,hcarry:OUT STD_LOGIC);END COMPONENT;COMPONENT fulladdPORT(in1,in2,cin:STD_LOGIC;fsum,fcarry:OUT STD_LOGIC);END COMPONENT;BEGINu1:halfadd PORT MAP(a=>a1,b=>b1,sum=>sum1,hcarry=>cout1);u2:fulladd PORT MAP(in1=>a2,in2=>b2,cin=>cout1,fsum=>sum2,fcarry=>cout2);u3:fulladd PORT MAP(in1=>a3,in2=>b3,cin=>cout2,fsum=>sum3,fcarry=>cout3);u4:fulladd PORT MAP(in1=>a4,in2=>b4,cin=>cout3,fsum=>sum4,fcarry=>cout4);END add_arc;5.七段译码电路及程序:library ieee;use ieee.std_logic_1164.all;entity deled isport(datain:in std_logic_vector(3 downto 0);qout:out std_logic_vector(6 downto 0));end deled;architecture func of deled isbeginprocess(datain)beginif datain= "0000" then qout<="1111110";elsif datain= "0001" then qout<="0110000";elsif datain= "0010" then qout<="1101101";elsif datain= "0011" then qout<="1111001";elsif datain= "0100" then qout<="0110011";elsif datain= "0101" then qout<="1011011";elsif datain= "0110" then qout<="1011111";elsif datain= "0111" then qout<="1110000";elsif datain= "1000" then qout<="1111111";elsif datain= "1001" then qout<="1111011";else null;end if;end process;end func;四、系统仿真1.D触发器电路模块仿真波形:2.移位寄存器模块电路仿真波形:3.二选一数据选择器电路模块仿真波形:4.加法计数器的电路模块仿真波形:5.七段译码电路仿真波形:五﹑硬件验证说明这次设计采用的硬件电路有芯片EP1K10TC100-3,实验板上标准时钟电路、LED 显示等, 六、总结七、参考书目[1]《PLD与数字系统设计》李辉西安电子科技大学出版社 2005[2]《EDA技术及可编程逻辑器件应用实训》沈明山北京科学出版社 2004[3]《VHDL数字系统设计与高层次综合》林敏方颖立著北京: 电子工业出版社2002[4]《VHDL程序设计》曾繁泰陈美金著北京: 清华大学出版社 2001[5]《EDA技术实验与课程设计》曹昕燕周风臣清华大学出版社 2005[6]《PLD器件与EDA技术》李冬梅北京广播学院出版社2000。
篮球比赛电子记分牌设计

一设计思路分析篮球比赛计时记分系统程序多而且复杂,所以用图形设计方法来设计。
先把每一模块的程序写好,然后生成图形文件,最后新建一个顶层图形文件,把各模块联结起来。
包括的模块有分频模块、计时模块、记分模块、脉冲产生模块、滤波模块、选择模块、声光显示模块,显示模块及消抖模块等。
程序总体框图为:二单元电路设计2.112进制减法计数器这个计数器用来表示每一节比赛的分钟位,它的时钟脉冲应由前一个60进制计数器的CO提供。
具备复位功能。
可以将12进制改为任意进制的减法计数器。
当计数结束后,计数器不再计数。
当CO有效时进行减法计数,当计数器减到0之,SO输出一个高电平。
EN等于1时计数器复位,当C05等于1时实现比赛加时操作。
生成元件图:仿真波形图:2.260进制减法计数器这个计数器用来表示每一节比赛的秒钟位。
要求在完成12个60进制的计数后,计数器不再计数。
具备清零、暂停以及复位的功能。
当CLK有效时进行减法计数,CLR等于1时整个计数器清零,EN等于1时计数器复位,PAUSE等于1时计数器暂停计数,。
当计数器减到0之,CO输出一个高电平。
生成元件图:仿真波形图:2.3 99进制可逆计数器这个计数器用来表示比赛球队分别的得分。
当S 出现上升沿时开始记分,CLR 等于1时整个计数器清零。
生成元件图:仿真波形如下:是 是 是2.61对2数据选择器由于比赛两方的比分不尽相同,所以用一个数据选择器来正确的给某一方加分。
当按下P4时,给A队加分,A的输出为CO;当再次按下P4时,给B队加分,B的输出为CO。
程序流程图:生成元件图:仿真波形如下:2.7脉冲产生器由于比赛的得分分别为1分,2分,3分。
所以对应的,当得分为1时,应当产生1个脉冲,当得分为2时,产生2个脉冲,以此类推。
在输入信号CLK存在的情况下,当P1为高电平,则CO产生1个脉冲信号。
P2为高电平,产生2个脉冲信号。
P3为高电平,产生3个脉冲信号。
生成元件图仿真波形如下:2.8滤波模块从脉冲产生器仿真的波形图看出,输出脉冲会有毛刺,所以加一个滤波模块,用以消除毛刺。
单片机课程设计篮球比赛计分牌的设计

西安文理学院机械与材料工程学院课程设计报告专业班级课程单片机课程设计题目单片机课程设计篮球比赛计分牌的设计学号学生姓名指导教师2016年11月西安文理学院机械与材料工程学院课程设计任务书学生姓名 专业班级 学 号指导教师 职 称 讲师 教研室课 程 单片机课程设计 题目篮球比赛计分牌的设计 任务与要求设计任务:以AT89S51单片机为核心,设计一个篮球比赛计分牌。
裁判控制开始、暂停、清除和两队的加分按键。
比赛每节10分钟,允许暂停,且使用不同LED 表示比赛状态。
比赛开始,按下可开始按键,绿色LED 亮,进入10分钟倒计时。
比赛期间,若暂停键按下,倒计时暂停,黄色LED 亮;当再次按下暂停按键,比赛继续进行。
当倒计时为0,比赛结束,红色LED 亮,蜂鸣器响5秒。
比赛期间,裁判可通过加分按键分别给A 队或B 队加分,每按下一次加2分。
当清除按键按下,时间与分数全部清零。
设计要求:1.完成系统的硬件电路设计与软件设计;2.采用C51语言编程;3.采用Proteus 、Keil C 等软件实现系统的仿真调试;4.设计报告要求思路清晰,结构合理,语言流畅,书写格式符合要求。
开始日期 2016.11.7 完成日期 2016.11.222016年11月3日A 队成绩倒计时开始加分加分暂停暂停B 队成绩摘要单片机,亦称单片微电脑或单片微型计算机。
它是把中央处理器(CPU)、随机存取存储器(RAM)、只读存储器(ROM)、输入/输出端口(I/0)等主要计算机功能部件都集成在一块集成电路芯片上的微型计算机。
本设计是基于AT89S51单片机的篮球计时计分器,利用7段共阴LED 作为显示器件。
在此设计中共接入了3个两位一体7段共阴LED显示器,一个用来记录赛程时间,其中2位用于显示A队成绩,2位用于显示B 队成绩,每队2个LED显示器显示范围可达到0~99分。
赛程计时采用倒计时方式,比赛开始时启动计时,直至计时到零为止。
【篮球记分牌】篮球记分牌课程设计实验报告

【篮球记分牌】篮球记分牌课程设计实验报告xx学校电子技术课程设计报告题目学院自动化与电气工程学院专业班级学号学生姓名指导教师完成日期201X年xx月xx日篮球记分牌摘要围绕设计要求对篮球记分牌进行设计。
首先根据功能要求提出单片机STC89S52RC为控制核心的设计方案;其次进行硬件系统和软件系统的设计,并且根据本次设计所用的V3.72开发板原理图进行设计,然后根据所设计的电路图级程序用Proteus和Keil进行了仿真,验证了设计方案的正确性,最后,把程序烧进开发板中来完成篮球记分牌的硬件电路。
调试和测试结果表明基本上都能满足此次课程设计的要求。
本次课程设计,有效加深和巩固了单片机的基础知识,提高了我们的实际动手能力,具有及其深远的意义。
关键词:单片机独立按键蜂鸣器at24c02数码管一、设计要求1.比赛开始,比分能够全部清零,数码管前2位,末二位做记分用,当中2位做24秒倒计时用。
2.24秒倒计时时间到,蜂鸣器响、指示灯亮,重新开始倒计时(按下开始键),蜂鸣器停止鸣叫、指示灯灭。
3.比分进行增加后,倒计时从24秒重新开始倒计时。
任意时刻24秒可以重新开始倒计时。
必要时候能够关闭倒计时。
4.利用AT24C02或者单片机内部资源保存比分,支持复位保存,掉电保存功能。
二、系统设计及仿真2.1、系统总体设计图2-1系统结构图思想汇报专题本系统采用单片机STC89S52RC为控制核心,系统主要包括显示模块、按键控制模块、蜂鸣器报警模块等。
下面对各模块的设计进行论证。
1)显示模块单片机系统中,往往需要多位显示。
动态显示是一种最常见的多位显示方法,应用非常广泛。
所有数码管段选都连接在一起的时候,怎么让数码管显示不一样的数字呢?动态显示是多个数码管,交替显示,利用人的视觉暂停作用使人看到多个数码管同时显示的效果。
首先我们来看一下显示模块电路原理图:图2-274ls138结构图图2-3数码管段选图本实验使用的是,通过P22、P23、P24控制3-8译码器来对数码管进行位选,通过P0口经过573的驱动控制数码管的段选2)按键控制模块方案一:采用矩阵键盘。
张亮-篮球比赛记分牌

张亮-篮球比赛记分牌北京电子科技职业学院篮球记分牌系统项目设计报告课程名称: 电子电路设计与调试班级: 08电子技术组号: 06 原理设计: 郝琦 01 硬件焊接: 韩爽 22 Protel图: 张亮 08 报告撰写: 张亮08 赵冬越 23 指导教师: 沈冰夏完成日期: 2010年6月30日一、实验任务设计自制的篮球比赛记分牌,实时显示比赛得分。
本设计主要是典型时序逻辑电路——计数器的应用。
主要芯片为十进制加/减计数器CD40192、JK触发器CD4027。
该设计应实现以下基本功能:, 分别记录两队得分情况;, 进球得分加2分,罚球进球得分加1分,三分线外进球得分加3分;, 纠正错判得分减3分、2分或1分;, 分别用3个数码管显示器记录两队的得分情况。
二、系统组成系统框图如图1所示:模块图如图2所示:三、设计方法1. 整体设计方案首先,该功能是能够记录得分情况,并将所得分数显示出来,所以这就需要三个数码管来显示分数。
三个数码管最多显示到百位,而篮球比赛最多得一百多分,所以百位数码管只需要能够显示出一即可。
然后,当有队员得分时能够将数码管上显示的分数进行增加,这就需要有一个按键来增加分数。
同样当有错判或误判时,需要将已得的分数减去相应的误判分数,这就需要有一个按键来减少分数。
总共需要两个按键,一个用来增加分数一个用来减少分数。
其次,从通过按键进行加减分数到显示在数码管上这之间,需要一个计数器来对按键所按的次数进行编码,并且需要一个译码器对计数器进行译码,使其显示在数码管上。
由于数码管的十位和个位均为十进制数,所以各需要一个译码器和计数器,共需要两个译码器和计数器。
随后,百位数字上只需要显示数字一,或不显示即可。
只需要一个JK触发器即可实现,利用JK触发器的翻转功能,就能使数码管显示一或不显示数字。
在百位和十位的级联时,需要一个与非门进行连接,这就需要一个与非门的芯片74LS00。
最后,需要一个复位按键,将显示的数字清零。
篮球赛记分牌设计分析

篮球赛记分牌设计前言篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。
现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。
随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。
篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。
篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。
由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。
这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。
篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。
1 系统总体设计方案随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。
本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。
51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。
采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
篮球赛记分牌设计前言篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。
现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。
随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。
篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。
篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。
由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。
这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。
篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。
1 系统总体设计方案随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。
本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。
51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。
采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。
这个设计实现的功能是记下双方比分,随时显示,能够随时更改双方比分,能够调整比赛的时间,还有暂停时间,能够在双方更换比赛场地时交换双方比分,时间走完时能发出比赛终止信号。
基于单片机系统的篮球赛记分牌的系统构成框图如图1-1所示。
图1-1 总体框图2 硬件电路设计2.1 系统电路的构成部分电路主要包含CPU部分、电源部分、复位电路部分、按键部分、LED显示、蜂鸣器部分六部分。
2.1.1 CPU部分51单片机为单芯片微控制器[2],常见封装形式为40脚双列直插式塑料封装DIP-40,其引脚识别为:正面面向用户,缺口向上,左上面第一脚为1脚,然后按逆时针方向依次为2~40脚。
通常第一脚有标志符号。
51单片机管脚图如图2.1.1-1所示。
AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Flash Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。
AT89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。
主要特性与MCS-51 兼容,4K字节可编程FLASH存储器,数据保留时间是10年,寿命是1000写/擦循环,静态工作频率是0Hz-24MHz,三级程序存储器锁定,128×8位内部RAM,32可编程I/O线,两个16位定时器/计数器,可编程串行通道,5个中断源,低功耗的闲置和掉电模式,片内振荡器和时钟电路。
图2.1.1-1 单片机引脚图管脚说明:VCC:供电电压。
GND:接地。
P0口:P0口为一个8位漏极开路双向I/O口,每脚可吸收8TTL门电流。
当P1口的管脚第一次写1时,被定义为高阻态输入。
P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。
在FLASH编程时,P0 口作为原码输入口,当FLASH 进行校验时,P0输出原码,此时P0外部必须被拉高。
P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。
P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。
在FLASH编程和校验时,P1口作为第八位地址接收。
P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。
并因此作为输入时,P2口的管脚被外部拉低,将输出电流。
这是由于内部上拉的缘故。
P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。
在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。
P2口在FLASH编程和校验时接收高八位地址信号和控制信号。
P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。
当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。
作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。
P3口也可作为AT89C51的一些特殊功能口,见表2.1.1-1所示:表2.1.1-1 P3口8个管脚的功能P3口同时为闪烁编程和编程校验接收一些控制信号。
RST:复位输入。
当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。
ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。
在FLASH编程期间,此引脚用于输入编程脉冲。
在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。
因此它可用作对外部输出的脉冲或用于定时目的。
然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。
如想禁止ALE的输出可在SFR8EH地址上置0。
此时, ALE只有在执行MOVX,MOVC指令是ALE 才起作用。
另外,该引脚被略微拉高。
如果微处理器在外部执行状态ALE禁止,置位无效。
/PSEN:外部程序存储器的选通信号。
在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。
但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。
/EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。
注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。
在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。
XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。
XTAL2:来自反向振荡器的输出。
振荡器特性:XTAL1和XTAL2分别为反向放大器的输入和输出。
该反向放大器可以配置为片内振荡器。
石晶振荡和陶瓷振荡均可采用。
如采用外部时钟源驱动器件,XTAL2应不接。
有余输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无任何要求,但必须保证脉冲的高低电平要求的宽度。
单片机是一种微控制器,任何控制器正常工作最基本的条件是要有正确的电源、时钟电路好复位信号,三者缺一不可。
单片机正常工作最基本条件是:正确的电源、时钟信号、复位信号。
51系列单片机第40引脚接电源+5V,第20引脚接地。
电压过高或者过低均会引起单片机CPU部工作,CPU 控制电路如图2.1.1-2所示。
单片机指令执行时在时钟脉冲控制下进行的,时钟脉冲信号是由单片机内部时钟电路及18脚、19脚外接晶振和电容组成的时钟电路产生的。
时钟电路异常,也会引起单片机CPU部工作,可通过测量30脚(ALE)是否有时钟脉冲六分频信号输出来判断振荡电路是否起振。
复位电路时在CPU通电后,给复位端9脚(RST)一个复位脉冲,使CPU内部处于初始工作状态。
51系列单片机是高电平复位,在正确的复位后(工作状态)9脚应保持低电平。
如果复位电路出现故障,CPU也将无法工作。
由于CPU的复位电路只有在开机瞬间产生复位脉冲,周期一般为几毫秒,用万用表无法鉴别正常与否。
对于只有上电复位的复位电路,快速判断CPU是否有故障可以采取强制复位的方法,将复位瞬时接电源正端,如果此时CPU恢复工作,说明CPU的复位电路出现故障。
对于有按键复位的复位电路,按下复位键,测量复位端是否有高电平产生来判断复位电路工作是否正常。
图2.1.1-2 CPU控制电路2.1.2 电源部分如图2.1.2-1所示为单片机复位电路提供电源。
图2.1.2-1 电源部分2.1.3 复位电路部分如图2.1.3-1所示,单片机中RST端口通过一个10uF的电解电容接VCC,又通过一个10K的电阻接地[3] 。
接VCC的电容为复位电容,接地的电阻为下拉电阻。
工作过程:单片机刚上电时需复位一次才能可靠工作,通过电容接VCC,是利用电容充电来提供>>2个机器周期的高电平时间让单片机复位,如此单片机可以正常工作了,这之后又不要求单片机复位,所以可以通过10K的电阻下拉接地,保证RST脚维持在低电平状态(即不复位状态)。
图2.1.3-1 复位电路2.1.4 按键部分如图2.1.4-1所示,键盘[4]对应名称如下:ADD1,DEC1,EXCHANGE,ADD2,DEC2,RUN/STOP其中,ADD1 甲队比分加1键,(暂停时为调整时间分钟加1)DEC1 甲队比分减1键,(暂停时为调整时间分钟减1)EXCHANGE 换场键,半场休息时换场。
ADD2 乙队比分加1键,(暂停时为调整时间秒钟加1)DEC2 乙队比分减1键,(暂停时为调整时间秒钟减1)RUN/STOP 启动暂停键,比赛开始时按下启动计时,比赛开始。
比赛开始后,按下为暂停计时,比赛暂停。
图2.1.4-1 按键部分2.1.5 LED显示部分如图2.1.5-1,图2.1.5-2和图2.1.5-3所示,分别代表甲乙两队记分牌和剩余时间牌。
其中图2.1.5-1为剩余时间牌,初始剩余时间默认为12:00;图2.1.5-2和图2.1.5-3为甲乙两队的比分值,用三位数表示,范围从000到999。
图2.1.5-1 D2(4LED)图2.1.5-2 D3(3LED)图2.1.5-3 D4(3LED)2.1.6 蜂鸣器部分蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电。
蜂鸣器主要分为电磁式蜂鸣器和压电式蜂鸣器两种类型。
应用比较广泛,主要是在打印机、复印机、计算机、报警器、电子玩具、电话、汽车电子设备、定时器等电子产品中作发生器件。
电路中的蜂鸣器用字母“HA”或“H”表示。
如图2.1.6-1所示是将蜂鸣器接到P1.7上实现报警功能。