基于VHDL语言自动售货机设计实验报告-绝对原创

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

自动售货机设计实验报告

一设计题目:

g) 自动售货机

设计FPGA模块模拟自动售货机的工作过程,要求如下(1)售货机有两个进币孔,可以输入硬币和纸币,售货机有两个进币孔,一个是输入硬币,一个是输入纸币,硬币的识别范围是5角和1 元的硬币,纸币的识别范围是1 元、5 元,10 元,20元,50元,100元。乘客可以连续多次投入钱币。(2)顾客可以选择的商品种类有16种,价格分别为1-16元,顾客可以通过输入商品的编号来实现商品的选择。即有一个小键盘(0-9按键)来完成,比如输入15时要先输入1,再输入5。(3)顾客选择完商品后,可以选择需要的数量。然后可以继续选择商品及其数量,每次可以选择最多三个商品。然后显示出所需金额。顾客此时可以投币,并且显示已经投币的总币值。当投币值达到或超过所需币值后,售货机出货,并扣除所需金额,并找出多余金额。在投币期间,顾客可以按取消键取消本次操作,钱币自动退出。

二程序代码:

三程序实现功能:

FPGA模块模拟自动售货机:

(1)售货机有两个进币孔,分别输入硬币和纸币,硬币的识别范围是5角和1 元的硬币,纸币的识别范围是1 元、5 元,10 元,20元,50元,100元。乘客可以连续多次投入钱币。

(2)顾客可以选择的商品种类有16种,价格分别为1-16元,顾客可以通过输入商品的编号来实现商品的选择。

(3)顾客选择完商品后,可以选择需要的数量。然后可以继续选择商品及其数量,每次最多选择最种商品,每种商品最多购买三个。然后显示出所需金额。顾客此时可以投币,并且显示已经投币的总币值。当投币值达到或超过所需币值后,售货机出货,并扣除所需金额,并找出多余金额。在投币期间,顾客可以按取消键取消本次操作,钱币自动退出。

四程序功能模块分析:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

实体说明:

entity sellor is

port ( clk:in std_logic; --系统时钟

sel,cancel,reset: in std_logic; --选择、取消、开始(用于进入初始状态)

变量定义:

coin: in std_logic_vector(1 downto 0); --5角硬币、1元硬币crash:in std_logic_vector(5 downto 0); --100元、50元、20元、10元、5元、一元纸币

item: in std_logic_vector(3 downto 0); --16种商品

quantity:in std_logic_vector(1 downto 0); --商品数量(一次最多购买3件)change_out :out std_logic_vector(10 downto 0); --找零

item_out :out std_logic_vector(3 downto 0); --是否购买了商品(1表示有商品出来、0表示没有商品出来)

change :out std_logic ); --是否有找零

end sellor;

结构体说明:

architecture behave of sellor is

signal price:std_logic_vector(7 downto 0);

signal counter: std_logic_vector(10 downto 0); --币数计数器signal total_price:std_logic_vector(10 downto 0); --控制系统的时钟信号

type state_type is (initial_state,item1_state,quantity_state,money_state,sell_state,change_state);

signal state:state_type;

signal temp:std_logic_vector(1 downto 0);

signal quan:std_logic_vector(3 downto 0);

begin

com:process(reset,sel,clk)

begin

if (clk'event and clk='1')then

if reset='1' then state<=initial_state;temp<="00";quan<="0000";

end if;

case state is

when initial_state=>

item_out<="0000"; --出票口关闭

change_out<="00000000000"; --找零口关闭

total_price<="00000000000"; --票价总额记录清零

counter<="00000000000";--投入钱币总额记录清零

change<='0';

state<=item1_state; 设定initial_state

选择物品程序:

when item1_state=>

if temp<"11" then

else state<=money_state;

end if;

when quantity_state=>

if(cancel='1')then --按下“取消”按钮

state<=initial_state;

else 取消操作程序

if quantity="00" then

item_out<="0000";change<='0';

change_out<="00000000000";

state<=initial_state;

else 当选择0个商品

total_price<=total_price+price*quantity;temp<=temp+1;quan<=quan+quantity;

if (sel='1') then state<=item1_state;

else

state<=money_state;

end if;

end if;

end if;

货币识别:

when money_state=>

if(cancel='1')then --按下“取消”按钮

state<=initial_state;

end if;

case crash is --纸币识别

end case;

case coin is --硬币识别

end case;

相关文档
最新文档