寄存器堆实验报告

合集下载

华南理工大学物理实验报告

华南理工大学物理实验报告

华南理工大学物理实验报告一、实验目的1.熟悉双端口通用寄存器堆的读写操作。

2.熟悉简单运算器的数据传送通路。

3.验证运算器74LS181的算术逻辑功能。

4.按给定数据,完成指定的算术、逻辑运算。

二、实验电路图3.1示出了本实验所用的运算器数据通路图。

参与运算的数据首先通过实验台操作板上的八个二进制数据开关SW7-SW0来设置,然后输入到双端口通用寄存器堆RF中。

RF(U54)由一个ispLSI1016实现,功能上相当于四个8位通用寄存器,用于保存参与运算的数据,运算后的结果也要送到RF中保存。

双端口寄存器堆模块的控制信号中,RS1、RS0用于选择从B端口(右端口)读出的通用寄存器,RD1、RD0用于选择从A端口(左端口)读出的通用寄存器。

而WR1、WR0用于选择写入的通用寄存器。

LDRi 是写入控制信号,当LDRi=1时,数据总线DBUS上的数据在T3写入由WR1、WR0指定的通用寄存器。

RF的A、B端口分别与操作数暂存器DR1、DR2相连;另外,RF的B端口通过一个三态门连接到数据总线DBUS上,因而RF中的数据可以直接通过B端口送到DBUS上。

DR1(U47)和DR2(U48)各由1片74LS273构成,用于暂存参与运算的数据。

DR1接ALU的A输入端口,DR2接ALU的B输入端口。

ALU(U31、U35)由两片74LS181构成,ALU的输出通过一个三态门(74LS244)发送到数据总线DBUS上。

实验台上的八个发光二极管DBUS7-DBUS0显示灯接在DBUS上,可以显示输入数据或运算结果。

另有一个指示灯C显示运算器进位标志信号状态。

图中尾巴上带粗短线标记的信号都是控制信号,其中S3、S2、S1、S0、M、Cn#、LDDR1、LDDR2、ALU_BUS#、SW_BUS#、LDRi、RS1、RS0、RD1、RD0、WR1、WR0都是电位信号,在本次实验中用拨动开关K0—K15来模拟;T2、T3为时序脉冲信号,印制板上已连接到实验台的时序电路。

计算机组成原理寄存器堆实验报告

计算机组成原理寄存器堆实验报告

实验七-八寄存器堆实验姓名:陈衍席学号:1205110125 网工1202【实验环境】1. Windows 2000 或 Windows XP2. QuartusII9.1 sp2、DE2-115计算机组成原理教学实验系统一台,排线若干。

【实验目的】本次实验要求掌握触发器的基本原理,掌握寄存器和寄存器堆的组成原理。

【实验要求】本次实验只需要设计并实现8位触发器和32位触发器。

【实验原理】寄存器是数字电路中的基本模块,许多复杂的时序逻辑电路都是由它构成的。

在数字系统中,寄存器是一种在某一特定信号的控制下用于存储一组二进制数据的时序逻辑电路。

通常使用触发器构成寄存器,把多个D触发器的时钟连接起来就可以构成一个存储多位二进制的寄存器。

寄存器中二进制数的位可以用两种方式移入或移出寄存器。

第一种方法是以串行的方式将数据每次移动一位,这种方法称之为串行移位(Serial Shifting),线路较少,但耗费时间较多。

第二种方法是以并行的方式将数据同时移动,这种方法称之为并行移位(Parallel Shifting),线路较为复杂,但是数据传送的速度较快。

因此,按照数据进出移位寄存器的方式,可以将移位寄存器分为四种类型:串行输入串行输出移位寄存器(Serial In- Serial Out)、串行输入并行输出移位寄存器(Serial In- Parallel Out)、并行输入串行输出移位寄存器(Parallel In- Serial Out)、并行输入并行输出移位寄存器(Parallel In-ParallelOut)。

在CPU设计中,寄存器堆是一个可以保存指令和数据的必不可少的器件,是RISC微处理器的核心,所有内部、外部数据的读取都直接和它发生关系。

它由一组寄存器组成,只要给出寄存器堆中该寄存器的编号,则其中的内容都可以读或者写。

【实验步骤】1、8位触发器设计8位触发器中包括,输入信号:一个8位数据源D,1位CLRN复位信号,1位EN使能信号,1位CLK时钟信号;输出信号:8位Q。

实验内容寄存器堆(RegisterFile)ra0,rd0;ra1,rd1

实验内容寄存器堆(RegisterFile)ra0,rd0;ra1,rd1
• 检查仿真结果是否正确
• 检查下载测试是否正确
• 检查代码设计,代码是否独立完成
2019-3-28
2019春_计算机组成原理实验_CS-USTC
3
实验报告
• 内容包括但不限于:逻辑设计(数据通路和状态 图)、核心代码、仿真/下载结果、结果分析、实 验总结、意见/建议等,附设计和仿真代码
• 实验检查后一周内提交实验报告
2019春_计算机组成原理实验_CS-USTC
2
实验要求和检查
• 完成1和3的的逻辑设计、仿真和下载测试
– 逻辑设计采用模块化设计 – 仿真3时忽略display – 下载测试时,时钟采用板载100MHz时钟,其他输入
由拨动开关和按钮开关设置,结果输出至LED指示灯 或7段数码管
• 查看1和2的电路性能和资源使用情况
rst
FIFO
16
display
4
out empty
- out, in:出/入队列数据
clk
full
- full, empty:队列空/满, 空/满时忽略出/入队操作
- display:8个数码管的控 制信号,显示队列状态
.
1. 2 3 2. 3
复位 数据1, 2, 3依次入队 列
数据1出队列
2019-3-28
– ftp://202.38.79.134/ 相应文件夹 – 文件名格式:Labn_学号_姓名.pdf (其中n为第几次
实验,不满足该格式的视为未提交实验报告)
• 严禁抄袭,否则作零分处理
2019-3-28
2019春_计算机组成原理实验_CS-USTC
4
The End
2019-3-28
2019春_计算机组成原理实验_CS-USTC

计算机体系结构 实验报告2 华东理工大学

计算机体系结构 实验报告2  华东理工大学

实验名称多通路运算器和寄存器堆实验地点信息楼420实验日期2012-12-7一、实验目的1.了解多通路的运算器与寄存器堆的组成结构。

2.掌握多通路的运算器与寄存器堆的工作原理及设计方法。

二、实验设备PC 机一台, TD-CMX 实验系统一套。

三、实验原理1.ALU&REG 单元的结构ALU&REG单元由运算器和双端口寄存器堆构成,通过不同的控制信号SEL1、SEL0 产生不同结构的运算器。

运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A 和暂存器B。

SEL0 和SEL1 用于选择运算器和寄存器堆的通路:(1)当SEL1=0、SEL0=0,ALU 的输出D7…D0、REG(右口)的输出OUT7…OUT0 和ALU与REG 的输入IN7…IN0 接到CPU 内总线上时,如图1-2-1 所示,寄存器堆只能从右口进行操作,相当于只有一组控制线的单端口寄存器堆,一般计算机组成原理实验涉及到的运算器和寄存器就是采用这种结构。

(2)当SEL1=1、SEL0=0,REG(右口)的输出OUT7…OUT0 和ALU 与REG(右口)的输入IN7…IN0 接到CPU 内总线上时,运算器和双端口寄存器堆的结构如图1-2-2 所示,寄存器堆由两组控制信号来分别进行控制,每组控制信号都可以相对独立的对寄存器堆进行读写操作,同时增加了执行专用通道A 总线,以利于提高指令执行的效率。

(3)当SEL1=1、SEL0=1,REG(右口)的输出OUT7…OUT0 和ALU 与REG(右口)的输入IN7…IN0 接到CPU 内总线上时,运算器和双端口寄存器堆的结构如图1-2-3 所示,在双通道双端口运算器和寄存器堆的基础上增加了暂存器旁路,把运算结果写回到寄存器堆的同时也可以写到暂存器A、暂存器B 中。

由于在运算型指令中把运算的结果写到通用寄存器中的指令很多,占运算型指令的大多数,发生通用寄存器数据相关的概率相当高,因此,可以用硬件设置专用路径来解决这种通用寄存器数据相关问题。

杭电计算机组成原理寄存器堆设计实验

杭电计算机组成原理寄存器堆设计实验

杭电计算机组成原理寄存器堆设计实验计算机组成原理是计算机科学与技术的基础课程之一,它涉及到计算机的基本组成部分和原理。

在这门课程中,学生通常需要进行一系列的实验来加深对计算机组成原理的理解和应用。

其中之一是关于寄存器堆的设计实验。

寄存器堆是计算机中重要的组成部分之一,它用于存储、读取和写入数据。

在计算机中,数据通常被存储在寄存器中,然后进行各种操作。

因此,设计一个高效可靠的寄存器堆对于计算机的性能至关重要。

根据实验要求,我们需要设计一个8位的寄存器堆,并实现读取、写入和清零等操作。

以下是针对该实验的设计思路和实施步骤。

首先,我们需要确定寄存器堆的结构。

由于该寄存器堆为8位宽度,我们选择使用一个8x8的存储单元阵列。

每个存储单元都可以存储一个8位的数据。

整个寄存器堆由8个存储单元组成,每个存储单元对应一个地址,即0~7接下来,我们需要设计寄存器堆的读写电路。

对于读操作,我们需要通过地址线来选择要读取的存储单元,并将其输出到数据线。

对于写操作,我们同样需要通过地址线来选择要写入的存储单元,并将数据线上的数据写入该存储单元。

为了实现这些操作,我们需要使用多路选择器和数据解码器。

在设计中,我们还需要考虑到时钟信号的输入,以确保读写操作在时钟的上升沿或下降沿进行。

此外,我们还需要添加清零功能,以便将寄存器堆的值重置为零。

为实现清零功能,我们可以将一个额外的输入线与所有存储单元的清零输入连接。

在实施阶段,我们需要使用Verilog或其他硬件描述语言来实现设计。

在代码中,我们首先声明一个8位宽的存储单元阵列作为寄存器堆的基本组成部分。

然后,我们定义读写电路,包括地址线、数据线、多路选择器和数据解码器。

最后,我们添加时钟信号和清零功能。

在完成设计后,我们可以通过仿真工具进行验证。

通过输入不同的数据和地址,观察输出结果是否符合预期。

如果存在问题,我们可以进行调试并进行必要的修改。

一旦仿真结果正确无误,我们就可以开始进行硬件实验了。

计算机组成原理堆栈寄存器实验报告

计算机组成原理堆栈寄存器实验报告

计算机组成原理实验三堆栈寄存器实验一、实验目的:1、熟悉堆栈概念。

2、熟悉堆栈寄存器的组成和硬件电路。

二、实验要求:完成3个堆栈寄存器的数据写入与读出。

三、实验原理:实验中所用的堆栈寄存器数据通路由三片8位字长的LS374组成R0、R1、R2寄存器堆。

三个寄存器的输入/输出已连至BUS总线R0-B、R1-B、R2-B经CBA二进制控制开关译码产生数据输出选通信号(详见下表),LDR0、LDR1、LDR2为数据写入允许信号,由二进制控制开关来模拟,均为高电平有效;T4信号为寄存器数据写入脉冲,上升沿有效。

在手动实验状态(即“H”装态)每按动一次“单步”命令键,产生一个T4信号。

下表为寄存器单元选通真值表:四、实验连接:1.连接实验线路,把位于实验装置左上方的CTR-OUT UNIT (LDR0、LDR1、LDR2、/SW-B)与实验装置右中央的CTR-OUT unit (LDR0、LDR1、LDR2)及实验装置左下方INPUT-UNIT(/SW-B)中的控制信号作对应连接。

2.具体连接信号:/SW-B,/R0-B,/R1-B ,/R2-B ,LDR0,LDR1,LDR2五、实验仪器状态设定:在闪动的“P.”状态下按动“增址”命令键,使LED显示器自左向右第一位显示提示符“H”,表示本装置已进入手动单元实验状态。

五、实验项目:(一)堆栈寄存器的写入拨动二进制数据开关(INPUT-UNIT)向R0和R1寄存器置数(置数灯亮表示它所对应的数据位为“1”、反之为“0”)。

具体操作步骤图示如下:[CBA=001] [LDR0=1] [LDR=1][LDR1=0] [LDR1=1][LDR2=0] [LDR2=0][按“STEP”] [按“STEP”] (二)堆栈寄存器的读出关闭数据输入三态门(CBA=000),分别打开通用寄存器R0、R1、R2输出控制位,当CBA=100时,总线指示灯(BUS-DISP UNIT)显示R0中的数据01H;当CBA=101时,总线指示灯显示R1中的数据80H;当CBA=110时,总线指示灯显示R2中等的数据(随机),可以观察到,显示的随机数为11111111.(六)实验总结通过本次实验的数据和理论分析进行比较、验证,我们熟悉了堆栈概念,熟悉了堆栈寄存器的组成和硬件电路等。

寄存器实验报告资料

寄存器实验报告资料

实 验 报 告课程名称: 计算机组成原理 实验名称: 寄存器实验姓 名:专 业: 计算机科学与技术班 级: 学 号:计算机科学与技术学院实验教学中心2016 年 10月 12日实验项目名称: 寄存器实验一、实验目的(1) 了解模型机中A, W 寄存器结构、工作原理及其控制方法。

(2) 了解模型机中寄存器组R0..R3结构、工作原理及其控制方法。

(3) 了解模型机中地址寄存器MAR ,堆栈寄存器ST ,输出寄存器OUT 寄存器结构、工作原理及其控制方法。

二、实验内容(1) A 、W 寄存器:利用COP2000实验仪上的K16..K23开关做为DBUS 的数据,其它开关做为控制信号,将数据写入寄存器A ,W 。

(2) R0、R1、R2、R3寄存器实验:利用COP2000实验仪上的K16..K23开关做为DBUS 的数据,其它开关做为控制信号,对数据寄存器组R0..R3进行读写。

(3)MAR 、ST 、OUT 寄存器:利用COP2000实验仪上的K16..K23开关做为DBUS 的数据,其它开关做为控制信号,将数据写入地址寄存器MAR ,堆栈寄存器ST ,输出寄存器OUT 。

三、实验用设备仪器及材料伟福的计算机组成原理实验仪、计算机四、实验原理及接线实验1:A ,W 寄存器实验(1)原理图寄存器A 原理图寄存器W 原理图(2)工作波形图寄存器A,W写工作波形图(1)原理图寄存器R0,R1,R2,R3原理图寄存器R写工作波形图((1)原理图寄存器MAR原理图寄存器ST原理图寄存器OUT原理图(2)工作波形图寄存器MAR,ST,OUT写工作波形图(3)连接线表五、实验操作步骤实验1:A,W寄存器实验实验数据将55H写入A寄存器二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据55H。

这时寄存器A的黄色选择指示灯亮,表明选择A寄存器。

放开CLOCK 键,CLOCK由低变高,产生一个上升沿,数据55H被写入A寄存器。

寄存器实验实验报告

寄存器实验实验报告

寄存器实验实验报告在学习计算机组成原理的过程中,寄存器可是个至关重要的概念。

为了更深入地理解它,咱进行了一场有趣的寄存器实验。

实验开始前,看着那一堆实验设备和线路,心里还真有点小紧张。

毕竟这可不是闹着玩的,一个不小心接错线,可能整个实验就泡汤了。

不过,咱还是鼓起勇气,准备大干一场!实验中用到的主要设备有数字逻辑实验箱、导线、示波器等等。

我们的任务是通过连接线路,实现对寄存器的读写操作,并观察数据的变化。

先来说说寄存器的基本原理吧。

寄存器就像是计算机里的一个个小抽屉,专门用来存放数据。

它具有快速存储和读取数据的能力,是计算机运行的重要组成部分。

开始动手连接线路啦!这可真是个细致活儿。

我小心翼翼地拿着导线,眼睛紧紧盯着实验箱上的插孔,生怕插错了地方。

每插一根线,都感觉像是在完成一项艰巨的任务。

好不容易把线路连接好了,接下来就是输入数据进行测试。

当我按下第一个数据输入按钮时,心里别提多期待了。

眼睛一直盯着示波器的屏幕,盼着能看到正确的数据显示。

哎呀!没想到第一次居然出错了。

数据显示得乱七八糟,完全不是我想要的结果。

这可把我急坏了,赶紧检查线路,看是不是哪里接错了。

经过一番仔细的排查,终于发现原来是有一根导线接触不良。

重新接好后,再次输入数据,这次终于成功啦!看着示波器上显示出正确的数据,那种成就感简直爆棚。

在实验过程中,我还发现了一个有趣的现象。

当连续输入多个数据时,寄存器会按照先后顺序依次存储,就像排队一样,整整齐齐。

而且读取数据的时候,也是按照存储的顺序一个一个来,可听话了。

通过这次实验,我对寄存器有了更直观、更深刻的理解。

以前在书本上看到的那些抽象的概念,现在都变得清晰起来。

我明白了寄存器的工作原理,知道了它是如何存储和读取数据的,也更加体会到了计算机内部运行的神奇之处。

回想起刚开始面对实验设备时的紧张和迷茫,再看看现在成功完成实验后的喜悦和满足,真的是感慨万千。

这次实验不仅让我学到了知识,还锻炼了我的动手能力和解决问题的能力。

寄存器堆实验报告

寄存器堆实验报告
LED=8'b0000_0000;
if(Write_Reg)
begin
case(Sel)
2'b00: W_Data= 32'h1234_5678;
2'b01: W_Data= 32'h89AB_CDEF;
2'b10: W_Data= 32'h7FFF_FFFF;
2'b11: W_Data= 32'hFFFF_FFFF;
if(Reset)
begin
REG_Files[0]<=32'h0000_0000;
for(n=1;n<=31;n=n+1)
REG_Files[n]<=32'h0000_0000;
end
else
begin
if(Write_Reg&~W_Addr)
REG_Files[W_Addr]<=W_data;
end
REG RU1(Clk,Reset,Reg_Addr,Reg_Addr,Reg_Addr,W_Data,Write_Reg,R_Data_A,R_Data_B);
assign LED_Data=AB?R_Data_A : R_Data_B;
always @(*)
begin
W_Data=32'h0000_0000;
input Reset,
input [4:0] R_Addr_A,
input [4:0] R_Addr_B,
input [4:0] W_Addr,
input [31:0] W_data,
input Write_Reg,
output [31:0] R_Data_A,

寄存器实验报告

寄存器实验报告

实验报告实验名称_______________姓名__________学号___________同组同学姓名__________学号__________实验日期______________实验完成日期______________1.实验题目名称:寄存器实验2.实验目的:1)掌握QuartusII 5.0软件的图形编辑、编译、仿真的设计流程。

2)学习74670三态输出寄存器堆的使用。

3)了解寄存器组的使用,设计出完善的寄存器组。

3.实验内容:设计出功能完善的寄存器组,并对设计的正确性进行验证。

具体要求如下:1)用图形方式设计出寄存器组的电路原理图。

2)测试波形要用时序仿真实现,现将不同的数据连续写入4个寄存器后,在分别读出。

3)将设计文件封装成器件符号。

4)数据的宽度最好为16位。

4.实验设计思想:在主机系统中,寄存器组用于暂存程序运行过程中所需要的各种数据。

可以采用具有三态功能的两片4位片的74LS760芯片进行设计。

构成4字*8位的寄存器组。

通用寄存器组的设计原理图如下:通用寄存器组的设计原理图5.芯片封装图通用寄存器组芯片封装图图中RA,RB输出控制管脚接收需要读出信息的通用寄存器地址,在RE读控制信号的作用下,输出相应寄存器中的数据到输出管脚Q0~Q7端。

WA,WB输入控制管脚接收需要写入信息的通用寄存器的地址,在WE写控制信号的作用下,把输入管脚D0~D7的输入数据写入到相应的寄存器中。

其通用寄存器的“写”“读”功能控制方式可参见表5-2,5-3.6.逻辑电路图6.实验结果:7.实验小结:1)实验过程中所遇到的问题及其分析:2)解决的方法:3)实验收获:4)对实验的意见和建议:。

实验十寄存器及寄存器堆设计报告

实验十寄存器及寄存器堆设计报告
initial begin op1=16'b0011_0011_0011_0011;//3333 op2=16'b0010_0010_0010_0010;//2222 end
寄存器及寄存器堆设计
2018/10/11
15
pbdebounce p0(clk,btn_in[0],btn_out[0]); pbdebounce p1(clk,btn_in[1],btn_out[1]); pbdebounce p2(clk,btn_in[2],btn_out[2]); pbdebounce p3(clk,btn_in[3],btn_out[3]); pbdebounce p4(clk,btn_in[4],btn_out[4]); pbdebounce p5(clk,btn_in[5],btn_out[5]); pbdebounce p6(clk,btn_in[6],btn_out[6]); pbdebounce p7(clk,btn_in[7],btn_out[7]);
实验材料

寄存器及寄存器堆设计
2018/10/11
3
实现含由16个16位寄存器构成的寄存器堆,要求: 支持寄存器地址选择 支持写入任意16位值 支持读取寄存器的值
加入到多功能计算器中。
寄存器及寄存器堆设计
2018/10/11
4
寄存器是计算机常用的基本器件
1 、由同一信号控制的一组相互并联的触发器构成
2010-12-12 13
移位寄存器设计与应用
引脚分配(2)及操作说明
类 引脚 型 btn[0] btn[1] 输 btn[2] 入 btn[3] 取值 含义
btn[0]=1(主板按健) 为计数分频器的脉冲的计数控制开关

《CPU设计实验报告》word版

《CPU设计实验报告》word版

CPU设计实验报告姓名:学号:邮箱:一、实验目的:1、掌握硬件描述语言VHDL和EDA工具Quartus II;利用VHDL设计16位串行CPU,实现算术和逻辑运算指令、转移指令、访存指令、堆栈指令和控制指令;2、掌握CPU的调试和测试方法。

二、实验内容:1、运用硬件描述语言VHDL实现寄存器堆和算数逻辑单元设计、指令集和指令格式、时序设计和整体结构设计、指令译码器的设计、访存单元的设计、调试单元的设计;2、上机调试。

三、实验步骤:1、寄存器堆的设计寄存器堆由16个16位寄存器组成。

其中reset是异步清0端,reset=0时将所有寄存器清0。

dr_sel和sr_sel是目实用文档标寄存器和源寄存器编号,dr_out和sr_out输出目标寄存器和源寄存器的内容。

reg_sel指定一个寄存器编号,将该寄存器内容送给reg_out,这两个端口用于调试时观察每个寄存器的值。

reg_en是写允许端。

reg_en=“01”时,在clk的上升沿将from_alu写入dr_sel指定的寄存器;reg_en=“10”时,在clk的上升沿将from_mem写入dr_sel指定的寄存器。

reg_en取其他值时不改变寄存器堆的值。

设计方法:subtype WORD is std_logic_vector(15 downto 0);type REGISTERARRAY is array ( 0 to 15 ) of WORD;signal reg_bank: REGISTERARRAY 则reg_bank就是我们所需要的寄存器堆。

写寄存器堆的方法:reg_bank(conv_integer(dr_sel))<=from_alu;实用文档读寄存器堆的方法:dr_out <= reg_bank(conv_integer(dr_sel));其中,conv_integer是STD_LOGIC_ UNSINGED 程序包提供的函数,将标准逻辑矢量转换成整数,作为reg_bank 的下标。

堆栈寄存器实验实验报告(3篇)

堆栈寄存器实验实验报告(3篇)

第1篇一、实验目的1. 理解堆栈的概念和原理。

2. 掌握堆栈寄存器的组成和硬件电路。

3. 熟悉堆栈寄存器的数据写入与读出操作。

二、实验原理堆栈是一种先进后出(FILO)的数据结构,它由一组存储单元和相应的操作指令组成。

堆栈寄存器用于存储堆栈的指针,通常称为栈顶指针(Stack Pointer,SP)。

当数据被压入堆栈时,栈顶指针会自动增加;当数据从堆栈中弹出时,栈顶指针会自动减少。

实验中使用的堆栈寄存器由三片8位字长的LS374组成,分别命名为R0、R1、R2。

这三个寄存器的输入输出连接至BUS总线。

R0-B、R1-B、R2-B通过CBA二进制控制开关译码产生数据输出选通信号,LDR0、LDR1、LDR2数据写入允许信号,由二进制控制开关产生。

三、实验仪器与设备1. 实验台2. 8位字长的LS374芯片三片3. BUS总线4. CBA二进制控制开关5. LDR0、LDR1、LDR2数据写入允许信号6. 电源四、实验步骤1. 连接实验电路,将三片LS374芯片的输入输出端连接至BUS总线,CBA二进制控制开关连接至数据输出选通信号,LDR0、LDR1、LDR2数据写入允许信号连接至相应的控制信号。

2. 按照实验要求,将数据写入R0、R1、R2寄存器。

3. 读取R0、R1、R2寄存器中的数据,观察数据是否正确写入。

4. 对堆栈进行压栈操作,将数据压入堆栈。

5. 对堆栈进行弹栈操作,将数据从堆栈中弹出。

6. 观察栈顶指针的变化,验证堆栈操作的正确性。

五、实验结果与分析1. 实验步骤1:连接实验电路,按照要求连接LS374芯片、BUS总线、CBA二进制控制开关、LDR0、LDR1、LDR2数据写入允许信号等。

2. 实验步骤2:将数据写入R0、R1、R2寄存器。

通过观察BUS总线上的数据,发现数据已正确写入。

3. 实验步骤3:读取R0、R1、R2寄存器中的数据。

通过观察BUS总线上的数据,发现数据已正确读取。

计算机组成原理寄存器存储器实验心得,寄存器实验心得(共10篇).docx

计算机组成原理寄存器存储器实验心得,寄存器实验心得(共10篇).docx

计算机组成原理寄存器存储器实验⼼得,寄存器实验⼼得(共10篇).docx寄存器实验⼼得(共10篇)通⽤寄存器实验报告 ⼀、实验⽬的: 1、了解通⽤寄存器组的⽤途及对CPU的重要性。

2、掌握通⽤寄存器组的设计⽅法。

⼆、实验内容: 1、通⽤寄存器组的作⽤ 通⽤寄存器组是CPU的重要组成部分。

从存储器和外部设备取来的数据要放在通⽤寄存器中;向存储器和外部设备输出的数据从通⽤寄存器中取出;参加算术运算和逻辑运算的数据从通⽤寄存器组中取出,同时通⽤寄存器也 是运算结果的暂存地。

通⽤寄存器组有两个读端⼝,负责提供进⾏算术逻辑单元需要的源操作数和⽬的操作数;有⼀个写端⼝,负责将运算结果保存到指定的寄存器内。

2、通⽤寄存器组功能实现 根据通⽤寄存器组的功能要求,⼀个只有四个16位寄存器的通⽤寄存器组的框图如下图所⽰: ⑴寄存器部分: 当reset为低电平时,将四个16位寄存器R0-R3复位为0;当寄存器的write和sel 为⾼电平时,在时钟信号clk的上升沿将D端的输⼊D[15-0]写⼊寄存器,然后送到寄存器的输出Q[15-0]。

4个寄存器的允许写信号write和外部产⽣的⽬的寄存器写信号DRWr直接相连。

每个寄存器还有另⼀个选择信号sel,它决定哪⼀个寄存器进⾏写操作。

4个寄存器的选择信号分别和2-4译码器产⽣的sel00、sel01、sel10、sel11相连。

只有当⼀个寄存器被选中,才允许对该寄存器进⾏写操作。

⑵2-4译码器: 2-4译码器的输⼊sel[1-0]接DR[1-0],2-4译码器对2位的输⼊信号sel[1-0]进⾏2-4译码,产⽣4个输出sel00、sel01、sel10、sel11,分别送往4个寄存器R0、R1、R2和R3的选择端sel。

⑶4选1多路器 4选1多路选择器1从4个寄存器R0、R1、R2和R3的输出Q[15-0]选择1路送到DR_data[15-0],给算术逻辑单元提供⽬的操作数;选择信号sel[1-0]接DR[1-0]。

寄存器实验报告总结心得

寄存器实验报告总结心得

随着电子技术和计算机科学的飞速发展,寄存器作为数字系统中的基本组件,其重要性不言而喻。

本次寄存器实验,让我对寄存器有了更深入的了解,同时也锻炼了我的动手能力和解决问题的能力。

以下是我在实验过程中的心得体会。

一、实验背景寄存器是数字系统中用于存储和传输数据的临时存储单元,它由触发器组成,可以并行或串行地存取数据。

在计算机组成原理课程中,寄存器是不可或缺的一部分,它直接影响着计算机的性能和效率。

本次实验主要围绕移位寄存器展开,旨在让我们掌握移位寄存器的逻辑功能、工作原理以及在实际应用中的重要性。

二、实验内容1. 实验目的(1)了解移位寄存器的结构、功能和工作原理;(2)掌握移位寄存器的逻辑功能测试和使用方法;(3)学会移位寄存器的应用,如实现数据的串/并转换、构成环形计数器等。

2. 实验原理移位寄存器是一种具有移位功能的寄存器,其数据可以在时钟脉冲的作用下依次左移或右移。

根据移位寄存器存取信息的方式不同,可以分为串入串出、串入并出、并入串出、并入并出四种形式。

本实验选用4位双向通用移位寄存器,型号为74LS194或CC40194。

3. 实验步骤(1)搭建实验电路,包括74LS194芯片、时钟脉冲源、数据输入端等;(2)根据实验要求,设置移位寄存器的操作模式,如并行送数、右移、左移、保持及清零等;(3)通过数据输入端,向移位寄存器中写入数据;(4)观察移位寄存器的输出端,记录数据的变化情况;(5)分析实验结果,验证移位寄存器的功能。

4. 实验结果与分析(1)通过实验,我们成功搭建了移位寄存器实验电路,并实现了数据的串行输入、移位和并行输出;(2)实验结果表明,移位寄存器能够按照设定的操作模式,实现数据的左移、右移、保持和清零等功能;(3)通过观察实验现象,我们了解到移位寄存器在实际应用中的重要作用,如构成环形计数器、顺序脉冲发生器、串行累加器等。

三、实验心得1. 理论知识与实践相结合本次实验让我深刻体会到,理论知识与实践操作是相辅相成的。

寄存器堆实验报告总结心得

寄存器堆实验报告总结心得

寄存器堆实验报告总结心得
寄存器堆是计算机中非常重要的组成部分,它用于存储指令和数据。

在进行寄存器堆实验的过程中,我深刻体会到了寄存器堆的重要性和功能。

首先,在实验中我学到了寄存器堆的基本结构和工作原理。

寄存器堆由多个寄存器组成,每个寄存器都有唯一的地址,并可以存储特定大小的数据。

通过将地址输入到控制线上,我可以选择读取或写入特定地址的数据。

这使得寄存器堆可以在计算机中高效地存储和访问大量的数据。

其次,通过实验我了解到了寄存器堆的访问时间和容量对计算机性能的影响。

访问时间是指从发出读取或写入指令到数据可用的时间。

在实验中,我发现访问时间较长的寄存器堆会导致计算机的整体性能下降。

因此,在设计计算机时,需要根据实际需求选择合适的寄存器堆容量和访问时间。

此外,我还学到了如何设计和实现一个简单的寄存器堆。

在实验中,我使用了逻辑门和触发器来构建寄存器堆的各个组件,并通过连接控制线实现数据的读取和写入。

通过实际操作,我更加深入地理解了寄存器堆的内部结构和工作原理。

最后,寄存器堆实验让我对计算机的内部结构有了更深入的了解,提高了我的实践能力和问题解决能力。

通过亲自实验,我更加熟悉了寄存器堆的使用方法和性能特点,并能够根据实际需求进行设计和优化。

总而言之,寄存器堆实验是一次非常有意义的实践活动,它让我更深入地了解了计算机的内部结构和工作原理。

通过这次实验,我不仅提高了自己的实践能力,也为以后的学习和研究打下了坚实的基础。

《计算机组成原理》实验报告——寄存器堆

《计算机组成原理》实验报告——寄存器堆

南通大学信息科学技术学院《计算机组成实验》实验报告实验寄存器堆的设计与实现一、实验目的1.熟悉Vivado软件的使用方法。

2.熟悉寄存器堆的功能。

3.掌握自顶而下的硬件模块设计方法。

4.掌握电路仿真测试方法,掌握仿真激励文件的编写,掌握仿真输出的分析方法。

二、实验任务设计一个寄存器堆,满足两路输出一路输入的功能,并完成仿真测试。

三、实验预习(1)实验电路原理及信号说明寄存器堆(REGFILE)是CPU组成的重要存储部件,也是数据通路中的重要部件,其主要功能是对数据进行存储。

在本实验中将为REGFILE构建32×32的寄存器组,即共有32个寄存器,每个寄存器的位宽都是32位。

32×32的REGFILE逻辑结构如图所示:具体设计如下:通过带有32个带使能端的D触发器实现数据的存储,5-32译码器实现地址与存储单元的连接选择,32位32选1选择器来实现输出。

(2)实验电路设计顶层文件:module REGFILE(Ra,Rb,D,Wr,We,Clk,Clrn,Qa,Qb);input [4:0]Ra,Rb,Wr;input [31:0]D;input We,Clk,Clrn;output [31:0]Qa,Qb;wire [31:0]Y;wire[31:0]Q31,Q30,Q29,Q28,Q27,Q26,Q25,Q24,Q23,Q22,Q21,Q20,Q19,Q18,Q17,Q16,Q15,Q14,Q13,Q12,Q11,Q 10,Q9,Q8,Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0;DEC5T32E dec(Wr,We,Y);REG32reg32(D,Y,Clk,Clrn,Q31,Q30,Q29,Q28,Q27,Q26,Q25,Q24,Q23,Q22,Q21,Q20,Q19,Q18,Q17,Q16,Q15,Q14,Q 13,Q12,Q11,Q10,Q9,Q8,Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0);MUX32X32select1(Q31,Q30,Q29,Q28,Q27,Q26,Q25,Q24,Q23,Q22,Q21,Q20,Q19,Q18,Q17,Q16,Q15,Q14,Q13,Q12,Q11 ,Q10,Q9,Q8,Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0,Ra,Qa);MUX32X32select2(Q31,Q30,Q29,Q28,Q27,Q26,Q25,Q24,Q23,Q22,Q21,Q20,Q19,Q18,Q17,Q16,Q15,Q14,Q13,Q12,Q11 ,Q10,Q9,Q8,Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0,Rb,Qb);Endmodule5-32地址译码器:module DEC5T32E(I,En,Y);input [4:0] I;input En;output [31:0] Y;reg [31:0] Y;always@(En or I)beginif(En)begincase(I)5'b00000:Y=32'b00000000000000000000000000000001;5'b00001:Y=32'b00000000000000000000000000000010;5'b00010:Y=32'b00000000000000000000000000000100;5'b00011:Y=32'b00000000000000000000000000001000;5'b00100:Y=32'b00000000000000000000000000010000;5'b00101:Y=32'b00000000000000000000000000100000;5'b00110:Y=32'b00000000000000000000000001000000;5'b00111:Y=32'b00000000000000000000000010000000;5'b01000:Y=32'b00000000000000000000000100000000;5'b01001:Y=32'b00000000000000000000001000000000;5'b01010:Y=32'b00000000000000000000010000000000;5'b01011:Y=32'b00000000000000000000100000000000;5'b01100:Y=32'b00000000000000000001000000000000;5'b01101:Y=32'b00000000000000000010000000000000;5'b01110:Y=32'b00000000000000000100000000000000;5'b01111:Y=32'b00000000000000001000000000000000;5'b10000:Y=32'b00000000000000010000000000000000;5'b10001:Y=32'b00000000000000100000000000000000;5'b10010:Y=32'b00000000000001000000000000000000;5'b10011:Y=32'b00000000000010000000000000000000;5'b10100:Y=32'b00000000000100000000000000000000;5'b10101:Y=32'b00000000001000000000000000000000;5'b10110:Y=32'b00000000010000000000000000000000;5'b10111:Y=32'b00000000100000000000000000000000;5'b11000:Y=32'b00000001000000000000000000000000;5'b11001:Y=32'b00000010000000000000000000000000;5'b11010:Y=32'b00000100000000000000000000000000;5'b11011:Y=32'b00001000000000000000000000000000;5'b11100:Y=32'b00010000000000000000000000000000;5'b11101:Y=32'b00100000000000000000000000000000;5'b11110:Y=32'b01000000000000000000000000000000;5'b11111:Y=32'b10000000000000000000000000000000;endcaseendelseY=32'b00000000000000000000000000000000;endendmodule32位寄存器moduleREG32(D,En,Clk,Clrn,Q31,Q30,Q29,Q28,Q27,Q26,Q25,Q24,Q23,Q22,Q21,Q20,Q19,Q18,Q17,Q16,Q15,Q14,Q1 3,Q12,Q11,Q10,Q9,Q8,Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0);input[31:0]D,En;input Clk,Clrn;output[31:0]Q31,Q30,Q29,Q28,Q27,Q26,Q25,Q24,Q23,Q22,Q21,Q20,Q19,Q18,Q17,Q16,Q15,Q14,Q13,Q12,Q11 ,Q10,Q9,Q8,Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0;wire[31:0]Qn31,Qn30,Qn29,Qn28,Qn27,Qn26,Qn25,Qn24,Qn23,Qn22,Qn21,Qn20,Qn19,Qn18,Qn17,Qn16,Qn15,Qn 14,Qn13,Qn12,Qn11,Qn10,Qn9,Qn8,Qn7,Qn6,Qn5,Qn4,Qn3,Qn2,Qn1,Qn0;D_FFEC32 q31(D,Clk,En[31],Clrn,Q31,Qn31);D_FFEC32 q30(D,Clk,En[30],Clrn,Q30,Qn30);D_FFEC32 q29(D,Clk,En[29],Clrn,Q29,Qn29);D_FFEC32 q28(D,Clk,En[28],Clrn,Q28,Qn28);D_FFEC32 q27(D,Clk,En[27],Clrn,Q27,Qn27);D_FFEC32 q26(D,Clk,En[26],Clrn,Q26,Qn26);D_FFEC32 q25(D,Clk,En[25],Clrn,Q25,Qn25);D_FFEC32 q24(D,Clk,En[24],Clrn,Q24,Qn24);D_FFEC32 q23(D,Clk,En[23],Clrn,Q23,Qn23);D_FFEC32 q22(D,Clk,En[22],Clrn,Q22,Qn22);D_FFEC32 q21(D,Clk,En[21],Clrn,Q21,Qn21);D_FFEC32 q20(D,Clk,En[20],Clrn,Q20,Qn20);D_FFEC32 q19(D,Clk,En[19],Clrn,Q19,Qn19);D_FFEC32 q18(D,Clk,En[18],Clrn,Q18,Qn18);D_FFEC32 q17(D,Clk,En[17],Clrn,Q17,Qn17);D_FFEC32 q16(D,Clk,En[16],Clrn,Q16,Qn16);D_FFEC32 q15(D,Clk,En[15],Clrn,Q15,Qn15);D_FFEC32 q14(D,Clk,En[14],Clrn,Q14,Qn14);D_FFEC32 q13(D,Clk,En[13],Clrn,Q13,Qn13);D_FFEC32 q12(D,Clk,En[12],Clrn,Q12,Qn12);D_FFEC32 q11(D,Clk,En[11],Clrn,Q11,Qn11);D_FFEC32 q10(D,Clk,En[10],Clrn,Q10,Qn10);D_FFEC32 q9(D,Clk,En[9],Clrn,Q9,Qn9);D_FFEC32 q8(D,Clk,En[8],Clrn,Q8,Qn8);D_FFEC32 q7(D,Clk,En[7],Clrn,Q7,Qn7);D_FFEC32 q6(D,Clk,En[6],Clrn,Q6,Qn6);D_FFEC32 q5(D,Clk,En[5],Clrn,Q5,Qn5);D_FFEC32 q4(D,Clk,En[4],Clrn,Q4,Qn4);D_FFEC32 q3(D,Clk,En[3],Clrn,Q3,Qn3);D_FFEC32 q2(D,Clk,En[2],Clrn,Q2,Qn2);D_FFEC32 q1(D,Clk,En[1],Clrn,Q1,Qn1);assign Q0=0;assign Qn0=0;endmodule32位32选1选择器moduleMUX32X32(Q31,Q30,Q29,Q28,Q27,Q26,Q25,Q24,Q23,Q22,Q21,Q20,Q19,Q18,Q17,Q16,Q15,Q14,Q13,Q12,Q1 1,Q10,Q9,Q8,Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0,S,Y);input[31:0]Q31,Q30,Q29,Q28,Q27,Q26,Q25,Q24,Q23,Q22,Q21,Q20,Q19,Q18,Q17,Q16,Q15,Q14,Q13,Q12,Q11,Q10, Q9,Q8,Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0;input [4:0]S;output [31:0]Y;function [31:0]select;input[31:0]Q31,Q30,Q29,Q28,Q27,Q26,Q25,Q24,Q23,Q22,Q21,Q20,Q19,Q18,Q17,Q16,Q15,Q14,Q13,Q12,Q11,Q10, Q9,Q8,Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0;input [4:0]S;case(S)5'b00000:select=Q0;5'b00001:select=Q1;5'b00010:select=Q2;5'b00011:select=Q3;5'b00100:select=Q4;5'b00101:select=Q5;5'b00110:select=Q6;5'b00111:select=Q7;5'b01000:select=Q8;5'b01001:select=Q9;5'b01010:select=Q10;5'b01011:select=Q11;5'b01100:select=Q12;5'b01101:select=Q13;5'b01110:select=Q14;5'b01111:select=Q15;5'b10000:select=Q16;5'b10001:select=Q17;5'b10010:select=Q18;5'b10011:select=Q19;5'b10100:select=Q20;5'b10101:select=Q21;5'b10110:select=Q22;5'b10111:select=Q23;5'b11000:select=Q24;5'b11001:select=Q25;5'b11010:select=Q26;5'b11011:select=Q27;5'b11100:select=Q28;5'b11101:select=Q29;5'b11110:select=Q30;5'b11111:select=Q31;endcaseendfunctionassign Y =select(Q31,Q30,Q29,Q28,Q27,Q26,Q25,Q24,Q23,Q22,Q21,Q20,Q19,Q18,Q17,Q16,Q15,Q14,Q13,Q12,Q11,Q10, Q9,Q8,Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0,S);endmoduleRTL视图如下:四、实验过程、数据记录与结果分析1.仿真测试文件:module REGFILE_Sim( );// REGFILE Inputsreg [4:0] Ra = 0 ;reg [4:0] Rb = 0 ;reg [4:0] Wr = 0 ;reg [31:0] D = 0 ;reg We = 0 ;reg Clk = 0 ;reg Clrn = 0 ;// REGFILE Outputswire [31:0] Qa ;wire [31:0] Qb ;REGFILE u_REGFILE (.Ra ( Ra [4:0] ),.Rb ( Rb [4:0] ),.Wr ( Wr [4:0] ),.D ( D [31:0] ),.We ( We ),.Clk ( Clk ),.Clrn ( Clrn ),.Qa ( Qa [31:0] ),.Qb ( Qb [31:0] ));initialbeginClk=0;We=0; D=0; Ra=0; Rb=0; Wr=1; Clrn=0;#10;endalways #10 Clk=~Clk;always #20 Ra=Ra+1;always #20 Rb=Rb+1;always #20 Wr=Wr+1;always #20 D=D+1;always #20 We=~We;always #100 Clrn=1;endmodule2.仿真测试结果:3.仿真测试结果分析开始时100ns内,Clrn=0,寄存器堆初始化清零,此期间内写入操作均无效,读出数据均为零。

寄存器堆

寄存器堆
(5)由于实验四并未链接实验板,所以后面的链接实验板的步骤此处没有。
操作过程及结果
一,操作过程
实验过程和描述:
module shiyan4(R_Addr_A,R_Addr_B,Clk,W_Addr,W_Data,R_Data_A,R_Data_B,Reset,Write_reg);
input Clk,Reset;
姓名:吴国庆学号:13055524同组姓名:学号:
实验位置(机号):自己的笔记本
实验日期:2015年4月27日指导教师:章复嘉
实验内容(算法、程序、步骤和方法)
1、实验目的
(1)学会使用Verilog HDL进行时序电路的设计方法。
(2)掌握灵活应用Verilog HDL进行各种描述与建模的技巧和方法。
assign R_Data_B=REG_Files[R_Addr_B];
(3)读操作没有使能或者时钟信号控制,是组合逻辑电路,只要给出寄存器地址,即可独处寄存器中的数据。
所有写入操作的输入信号(包括写寄存器地址W_Addr、写入数据W_Data、写控制信号Write_Reg)必须在时钟边沿来临时已经有效。
if(Reset)
begin
for(i=0;i<=31;i=i+1)
REG_Files[i]<=32'b0;
end
else
begin
if(Write_reg) begin
if(W_Addr>3’b000) REG_Files[W_Addr]<=W_Data; end
end
end
assign R_Data_A=REG_Files[R_Addr_A];
);
initial begin
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
NET "Sel[1]" LOC = V9;
NET "Sel[0]" LOC = T9;
NET "Write_Reg" LOC = T10;
NET "Reset" LOC = C4;
NET "Clk" LOC = D9;
NET "AB" LOC = C9;
五、思考与探索
(1)、实验结果符合预期。
(2)、module REG( input Clk,
if(Reset)
begin
REG_Files[0]<=32'h0000_0000;
for(n=1;n<=31;n=n+1)
REG_Files[n]<=32'h0000_0000;
end
else
begin
if(Write_Reg&~W_Addr)
REG_Files[W_Addr]<=W_data;
end
output [31:0] R_Data_B
);
reg [31:0] REG_Files[0:31];
integer n;
assign R_Data_A=REG_Files[R_Addr_A];
assign R_Data_B=REG_Files[R_Addr_B];
always @(posedge Clk or posedge Reset)
module REG( i] R_Addr_A,
input [4:0] R_Addr_B,
input [4:0] W_Addr,
input [31:0] W_data,
input Write_Reg,
output [31:0] R_Data_A,
REG RU1(Clk,Reset,Reg_Addr,Reg_Addr,Reg_Addr,W_Data,Write_Reg,R_Data_A,R_Data_B);
assign LED_Data=AB?R_Data_A : R_Data_B;
always @(*)
begin
W_Data=32'h0000_0000;
begin
if(Reset)
begin
for(n=0;n<=31;n=n+1)
REG_Files[n]<=32'h0000_0000;
end
else
begin
if(Write_Reg)
REG_Files[ W_Addr]<=W_data;
end
end
endmodule
二、仿真波形
三、电路图
四、引脚配置(约束文件)
NET "LED[0]" LOC = U16;
NET "LED[1]" LOC = V16;
NET "LED[2]" LOC = U15;
NET "LED[3]" LOC = V15;
NET "LED[4]" LOC = M11;
NET "LED[5]" LOC = N11;
NET "LED[6]" LOC = R11;
LED=8'b0000_0000;
if(Write_Reg)
begin
case(Sel)
2'b00: W_Data= 32'h1234_5678;
2'b01: W_Data= 32'h89AB_CDEF;
2'b10: W_Data= 32'h7FFF_FFFF;
2'b11: W_Data= 32'hFFFF_FFFF;
end
endmodule
NET "LED[7]" LOC = T11;
NET "Reg_Addr[4]" LOC = T5;
NET "Reg_Addr[3]" LOC = V8;
NET "Reg_Addr[2]" LOC = U8;
NET "Reg_Addr[1]" LOC = N8;
NET "Reg_Addr[0]" LOC = M18;
input Reset,
input [4:0] R_Addr_A,
input [4:0] R_Addr_B,
input [4:0] W_Addr,
input [31:0] W_data,
input Write_Reg,
output [31:0] R_Data_A,
output [31:0] R_Data_B
);
reg [31:0] REG_Files[0:31];
integer n;
assign R_Data_A=REG_Files[R_Addr_A];
assign R_Data_B=REG_Files[R_Addr_B];
always @(posedge Clk or posedge Reset)
begin
input Clk,
input Reset,
input [4:0] Reg_Addr,
input Write_Reg,
input [1:0] Sel,
input AB,
output reg [7:0] LED
);
reg [31:0] W_Data;
wire [31:0] R_Data_A,R_Data_B,LED_Data;
endcase
end
else
begin
case(Sel)
2'b00: LED=LED_Data[7:0];
2'b01: LED=LED_Data[15:8];
2'b10: LED=LED_Data[23:16];
2'b11: LED=LED_Data[31:24];
endcase
end
end
endmodule
寄存器堆设计实验报告
2015年5月14日成绩:
姓名
刘明智
学号
13055121
班级
13058911
专业
物联网工程
课程名称
《计算机组成原理课程设计》
任课老师
冯建文
指导老师
冯建文
机位号
****
实验序号

实验名称
寄存器堆设计实验
实验时间
5-11
实验地点
1教223
实验设备号
****
一、实验程序源代码
module Top_m(
相关文档
最新文档