经典按键扫描程序

经典按键扫描程序
经典按键扫描程序

经典按键扫描程序

核心算法:

unsigned char Trg;

unsigned char Cont;

void KeyRead( void )

{

unsigned char ReadData = PINB^0xff; // 1

Trg = ReadData & (ReadData ^ Cont); // 2

Cont = ReadData; // 3

}

完了。有没有一种不可思议的感觉?当然,没有想懂之前会那样,想懂之后就会惊叹于这算法的精妙!!

下面是程序解释:

Trg(triger)代表的是触发,Cont(continue)代表的是连续按下。

1:读PORTB的端口数据,取反,然后送到ReadData 临时变量里面保存起来。2:算法1,用来计算触发变量的。一个位与操作,一个异或操作,我想学过C

语言都应该懂吧?Trg为全局变量,其它程序可以直接引用。

3:算法2,用来计算连续变量。

看到这里,有种“知其然,不知其所以然”的感觉吧?代码很简单,但是它到底

是怎么样实现我们的目的的呢?好,下面就让我们绕开云雾看青天吧。

我们最常用的按键接法如下:AVR是有内部上拉功能的,但是为了说明问题,我是特意用外部上拉电阻。那么,按键没有按下的时候,读端口数据为1,如果按键按下,那么端口读到0。下面就看看具体几种情况之下,这算法是怎么一回事。

(1)没有按键的时候

端口为0xff,ReadData读端口并且取反,很显然,就是 0x00 了。

Trg = ReadData & (ReadData ^ Cont); (初始状态下,Cont也是为0的)很简单的数学计算,因为ReadData为0,则它和任何数“相与”,结果也是为0的。

Cont = ReadData; 保存Cont 其实就是等于ReadData,为0;

结果就是:

ReadData = 0;

Trg = 0;

Cont = 0;

(2)第一次PB0按下的情况

端口数据为0xfe,ReadData读端口并且取反,很显然,就是 0x01 了。Trg = ReadData & (ReadData ^ Cont); 因为这是第一次按下,所以C ont是上次的值,应为为0。那么这个式子的值也不难算,也就是 Trg = 0 x01 & (0x01^0x00) = 0x01

Cont = ReadData = 0x01;

结果就是:

ReadData = 0x01;

Trg = 0x01;Trg只会在这个时候对应位的值为1,其它时候都为0 Cont = 0x01;

(3)PB0按着不松(长按键)的情况

端口数据为0xfe,ReadData读端口并且取反是 0x01 了。

Trg = ReadData & (ReadData ^ Cont); 因为这是连续按下,所以Co

nt是上次的值,应为为0x01。那么这个式子就变成了 Trg = 0x01 & (0 x01^0x01) = 0x00

Cont = ReadData = 0x01;

结果就是:

ReadData = 0x01;

Trg = 0x00;

Cont = 0x01;

因为现在按键是长按着,所以MCU会每个一定时间(20ms左右)不断的执行这个函数,那么下次执行的时候情况会是怎么样的呢?

ReadData = 0x01;这个不会变,因为按键没有松开

Trg = ReadData & (ReadData ^ Cont) = 0x01 & (0x01 ^ 0x0 1) = 0 ,只要按键没有松开,这个Trg值永远为 0 !!!

Cont = 0x01;只要按键没有松开,这个值永远是0x01!!

(4)按键松开的情况

端口数据为0xff,ReadData读端口并且取反是 0x00 了。

Trg = ReadData & (ReadData ^ Cont) = 0x00 & (0x00^0x01) = 0x00

Cont = ReadData = 0x00;

结果就是:

ReadData = 0x00;

Trg = 0x00;

Cont = 0x00;

很显然,这个回到了初始状态,也就是没有按键按下的状态。

总结一下,不知道想懂了没有?其实很简单,答案如下:

Trg 表示的就是触发的意思,也就是跳变,只要有按键按下(电平从1到0的跳变),那么Trg在对应按键的位上面会置一,我们用了PB0则Trg的值为0x0 1,类似,如果我们PB7按下的话,Trg 的值就应该为 0x80 ,这个很好理解,还有,最关键的地方,Trg 的值每次按下只会出现一次,然后立刻被清除,完

全不需要人工去干预。所以按键功能处理程序不会重复执行,省下了一大堆的条件判断,这个可是精粹哦!!Cont代表的是长按键,如果PB0按着不放,那么Cont的值就为 0x01,相对应,PB7按着不放,那么Cont的值应该为0x80,

同样很好理解。

如果还是想不懂的话,可以自己演算一下那两个表达式,应该不难理解的。因为有了这个支持,那么按键处理就变得很爽了,下面看应用:

应用一:一次触发的按键处理

假设PB0为蜂鸣器按键,按一下,蜂鸣器beep的响一声。这个很简单,但是大家以前是怎么做的呢?对比一下看谁的方便?

#define KEY_BEEP 0x01

void KeyProc(void)

{

if (Trg & KEY_BEEP) // 如果按下的是KEY_BEEP

{

Beep(); // 执行蜂鸣器处理函数

}

}

怎么样?够和谐不?记得前面解释说Trg的精粹是什么?精粹就是只会出现一次。所以你按下按键的话,Trg & KEY_BEEP 为“真”的情况只会出现一次,所以处理起来非常的方便,蜂鸣器也不会没事乱叫,hoho~~~或者你会认为这个处理简单,没有问题,我们继续。

应用2:长按键的处理

项目中经常会遇到一些要求,例如:一个按键如果短按一下执行功能A,如果长

按2秒不放的话会执行功能B,又或者是要求3秒按着不放,计数连加什么什么的功能,很实际。不知道大家以前是怎么做的呢?我承认以前做的很郁闷。但是看我们这里怎么处理吧,或许你会大吃一惊,原来程序可以这么简单,这里举个简单例子,为了只是说明原理,PB0是模式按键,短按则切换模式,PB1就是加,如果长按的话则连加(玩过电子表吧?没错,就是那个!)

#define KEY_MODE 0x01 // 模式按键

#define KEY_PLUS 0x02 // 加

void KeyProc(void)

{

if (Trg & KEY_MODE) // 如果按下的是KEY_MODE,而且你常按这按键也没有用,

{ //它是不会执行第二次的哦,必须先松开再按下

Mode++; // 模式寄存器加1,当然,这里只是演示,你可以执行你想

// 执行的任何代码

}

if (Cont & KEY_PLUS) // 如果“加”按键被按着不放

{

cnt_plus++; // 计时

if (cnt_plus > 100) // 20ms*100 = 2S 如果时间到

{

Func(); // 你需要的执行的程序

}

}

}

不知道各位感觉如何?我觉得还是挺简单的完成了任务,当然,作为演示用代码。

应用3:点触型按键和开关型按键的混合使用

点触形按键估计用的最多,特别是单片机。开关型其实也很常见,例如家里的电灯,那些按下就不松开,除非关。这是两种按键形式的处理原理也没啥特别,但是你有没有想过,如果一个系统里面这两种按键是怎么处理的?我想起了我以前的处理,分开两个非常类似的处理程序,现在看起来真的是笨的不行了,但是也没有办法啊,结构决定了程序。不过现在好了,用上面介绍的办法,很轻松就可以搞定。原理么?可能你也会想到,对于点触开关,按照上面的办法处理一次按

下和长按,对于开关型,我们只需要处理Cont就OK了,为什么?很简单嘛,

把它当成是一个长按键,这样就找到了共同点,屏蔽了所有的细节。程序就不给

了,完全就是应用2的内容,在这里提为了就是说明原理~~

好了,这个好用的按键处理算是说完了。可能会有朋友会问,为什么不说延时消抖问题?哈哈,被看穿了。果然不能偷懒。下面谈谈这个问题,顺便也就非常简单的谈谈我自己用时间片轮办法,以及是如何消抖的。延时消抖的办法是非常传

统,也就是第一次判断有按键,延时一定的时间(一般习惯是20ms)再读端口,

如果两次读到的数据一样,说明了是真正的按键,而不是抖动,则进入按键处理程序。

当然,不要跟我说你delay(20)那样去死循环去,真是那样的话,我衷心的建

议你先放下手上所有的东西,好好的去了解一下操作系统的分时工作原理,大概

知道思想就可以,不需要详细看原理,否则你永远逃不出“菜鸟”这个圈子。当

然我也是菜鸟。我的意思是,真正的单片机入门,是从学会处理多任务开始的,这个也是学校程序跟公司程序的最大差别。当然,本文不是专门说这个的,所以也不献丑了。

我的主程序架构是这样的:

volatile unsigned char Intrcnt;

void InterruptHandle() // 中断服务程序

{

Intrcnt++; // 1ms 中断1次,可变

}

void main(void)

{

SysInit();

while(1) // 每20ms 执行一次大循环

{

KeyRead(); // 将每个子程序都扫描一遍

KeyProc();

Func1();

Funt2();

while(1)

{

if (Intrcnt>20) // 一直在等,直到20ms时间到

{

Intrcnt="0";

break; // 返回主循环

}

}

}

}

貌似扯远了,回到我们刚才的问题,也就是怎么做按键消抖处理。我们将读按键

的程序放在了主循环,也就是说,每20ms我们会执行一次KeyRead()函数来得到新的Trg 和 Cont 值。好了,下面是我的消抖部分,很简单,基本架构如

上,我自己比较喜欢的,一直在用。当然,和这个配合,每个子程序必须执行时间不长,更加不能死循环,一般采用有限状态机的办法来实现,具体参考其它资料咯。

懂得基本原理之后,至于怎么用就大家慢慢思考了,我想也难不到聪明的工程师们。例如还有一些处理,怎么判断按键释放?很简单,Trg 和Cont都为0 则肯定已经释放了。在这个基础上再增加一个按键释放检测功能,程序如下:

volatile unsigned char Trg;

volatile unsigned char Cont;

volatile unsigned char Release;

// 再增加新功能!

void KeyRead( void )

{

unsigned char ReadData = PINB^0xff; // 1 读键值

Trg = ReadData & (ReadData ^ Cont); // 2 得到按下触发值

Release= (ReadData ^ Trg ^ Cont); // 3 得到释放触发值

Cont = ReadData; // 4 得到所有未释放的键值

}

一个经典的按键扫描程序发布时间:2008-12-12 21:22:05

技术类别:单片机

按键是比较复杂。可以用状态机表示。

每10mS执行一次键盘扫描任务

0、无键,若有键进入状态1

1、第一次检到有键。若有键进入状态2,同时将键值送缓冲区。若无键进入状态0。

2、若有键则计时,按键超过300ms进入状态3,同时将键值送缓冲区。若无键进入状态1。表示第一次按键后如果长按键则300ms后认为有一次按键。

3、若有键则计时,按键超过200ms则将键值送缓冲区。若无键进入状态1。

表示长按键则以后每200ms后认为有一次按键。

void KeyScan() //每10mS执行一次键盘扫描任务

{

switch(KeyState)

{

case 0:

if(KeyIsKeyDown()) //有键

{

KeyState = 1;

}

break;

case 1:

if(KeyIsKeyDown()) //有键

{

KeyState = 2;

KeyBufIn(); //将键值送缓冲区

KeyStartRptCnt = 0;

}

else

{

KeyState = 0;

}

break;

case 2:

if(KeyIsKeyDown()) //有键

{

if(KeyStartRptCnt++ >= 30)

{

KeyState = 3;

KeyRptCnt = 0;

KeyBufIn();

}

}

else

{

KeyState = 1;

}

break;

case 3:

if(KeyIsKeyDown()) //有键

{

if(KeyRptCnt++ >= 20)

{

KeyRptCnt = 0;

KeyBufIn();

}

}

else

{

KeyState = 1;

}

break;

default:

break;

}

}

超经典CAD lisp程序集锦、CAD快捷键大全

超经典CAD lisp程序集锦 如果您使用 AutoCAD,下面的内容对您一定有帮助。在某些方面能大大提高 您的工作效率。下面的程序均以源程序方式给出,您可以使用、参考、修改它。 bg.lsp --- 表格自动生成 asc.lsp --- 将文本文件内容写入图中,字符是单个的 wf.lsp --- 将图中字符写入磁盘 exstr.lsp --- 将字符串分解成单字 pgtxt.lsp --- 将字符合成字符串 pb.lsp --- 通过给出长度将字符串分成两个串 cht.lsp --- 直接修改文字内容或块属性 ct.lsp --- 对数字串进行加减 chh.lsp --- 直接修改文字高度 chhw.lsp --- 直接修改文字高宽比(针对PKPM软件将字符定位点改为左下角) chst.lsp --- 直接修改文字字体 txt.shx --- 修改后的标准txt.shx文件。(kuozhan.sld为增强的内容幻灯片) tiao.lsp --- 配合修改过的标准字体文件,将中文字符调大 tiao1.lsp --- 配合修改过的标准字体文件,将英文字符调小 untiao.lsp --- 上两个程序的复原 sht.lsp --- 在图中查找字符串 zhuang.lsp --- 桩点及钎探号绘制(勘测图) dim.lsp --- 配合JT.DWG将尺寸标注调成适合建筑结构设计(1:1) dimm.lsp --- 配合JT.DWG将尺寸标注调成适合建筑结构设计(1:100)

di1.lsp~di8.lsp --- 直接连续标注尺寸(用于1:1的图) di100.lsp~di800.lsp --- 直接连续标注尺寸(用于1:100的图) 详细内容及附件下载请浏览北纬服务论坛 https://www.360docs.net/doc/b28219846.html,/thread-2724-1-1.html

实验报告七-键盘扫描及显示实验

信息工程学院实验报告 课程名称:微机原理与接口技术 实验项目名称:键盘扫描及显示实验 实验时间: 班级: 姓名: 学号: 一、实 验 目 的 1. 掌握 8254 的工作方式及应用编程。 2. 掌握 8254 典型应用电路的接法。 二、实 验 设 备 了解键盘扫描及数码显示的基本原理,熟悉 8255 的编程。 三、实 验 原 理 将 8255 单元与键盘及数码管显示单元连接,编写实验程序,扫描键盘输入,并将扫描结果送数码管显示。键盘采用 4×4 键盘,每个数码管显示值可为 0~F 共 16 个数。实验具体内容如下:将键盘进行编号,记作 0~F ,当按下其中一个按键时,将该按键对应的编号在一个数码管上显示出来,当再按下一个按键时,便将这个按键的编号在下一个数码管上显示出来,数码管上可以显示最近 6 次按下的按键编号。 键盘及数码管显示单元电路图如图 7-1 和 7-2 所示。8255 键盘及显示实验参考接线图如图 7-3 所示。 图 7-1 键盘及数码管显示单元 4×4 键盘矩阵电路图 成 绩: 指导老师(签名):

图 7-2 键盘及数码管显示单元 6 组数码管电路图 图 7-3 8255 键盘扫描及数码管显示实验线路图 四、实验内容与步骤 1. 实验接线图如图 7-3 所示,按图连接实验线路图。

图 7-4 8255 键盘扫描及数码管显示实验实物连接图 2.运行 Tdpit 集成操作软件,根据实验内容,编写实验程序,编译、链接。 图 7-5 8255 键盘扫描及数码管显示实验程序编辑界面 3. 运行程序,按下按键,观察数码管的显示,验证程序功能。 五、实验结果及分析: 1. 运行程序,按下按键,观察数码管的显示。

4X4扫描式矩阵键盘课程设计

4X4扫描式矩阵键盘课程设计 课程设计名称: 4_4扫描式矩阵键盘设计 姓名:DUKE 班级:电子1008班 学号:10086 成绩: 日期:2014年1月6日

摘要 随着21世纪的到来,电子信息行业将是人类社会的高科技行业之一,式设施现代化的基础,也是人类通往科技巅峰的直通路。电子行业的发展从长远来看很重要,但最主要的还是科技问题。 矩阵式键盘提高效率进行按键操作管理有效方法,它可以提高系统准确性,有利于资源的节约,降低对操作者本身素质的要求。是它能准时、实时、高效地显示按键信息,以提高工作效率和资源利用率。 矩阵式键盘乃是当今使用最为广泛的键盘模式,该系统以N个端口连接控制N*N个按键,显示在LED数码管上。单片机控制依据这是键盘显示系统,该系统可以对不同的按键进行实时显示,其核心是单片机和键盘矩阵电路部分,主要对按键与显示电路的关系、矩阵式技术及设备系统的硬件、软件等各个部分进行实现。 4*4矩阵式键盘采用AT89C51单片机为核心,主要由矩阵式键盘电路、译码电路、显示电路等组成,软件选用C语言编程。单片机将检测到的按键信号转换成数字量,显示于LED显示器上。该系统灵活性强,易于操作,可靠性高,将会有更广阔的开发前景。

目录 第一章:系统功能要求-------------------------------------------------------- 1.1 4*4 矩阵式键盘系统概述------------------------------------------------ 1.2 本设计任务和主要内容--------------------------------------------------- 第二章:方案论证--------------------------------------------------------------- 第三章:系统硬件电路的设计------------------------------------------------ 3.1 单片机控制系统原理----------------------------------------------------- 3.2 原理图绘制说明---------------------------------------------------------- 3.3 画出流程图---------------------------------------------------------------- 3.4 原理图绘制--------------------------------------------------------------- 第四章:系统程序的设计------------------------------------------------------ 4.1 程序的编写步骤----------------------------------------------------------- 4.2 编写的源程序-------------------------------------------------------------- 第五章:调试及性能分析------------------------------------------------------ 第六章:心得体会--------------------------------------------------------------- 参考文献----------------------------------------------------------------------------

Verilog写的按键消抖程序

前几天看了特权同学用Verilog写的按键消抖程序,感觉很经典。在这里将程序贴出来分享一下。 module lcd_button2(clk,rst,seg,wei,sw1,sw2,sw3,sw4);//按键按下,数码管依次显示0-9 input clk; input rst; input sw1,sw2,sw3,sw4; output [3:0] wei; output[7:0] seg; reg [7:0] seg; reg [3:0] wei; integer num; initial begin num = 0; end reg[3:0] key_rst; always @(posedge clk or negedge rst) if(!rst) key_rst <= 4'b1111; else key_rst <= {sw4,sw3,sw2,sw1}; reg[3:0] key_rst_r; always @(posedge clk or negedge rst) if(!rst) key_rst_r <= 4'b111; else key_rst_r <= key_rst; wire[3:0] key_an = key_rst_r & (~key_rst); reg[19:0] cnt; always @(posedge clk or negedge rst) if(!rst) cnt <= 0; else if(key_an) cnt <= 0; else cnt <= cnt+1'b1; reg [3:0] low_sw; always @(posedge clk or negedge rst)

矩阵键盘控制12864显示最经典程序

#include //这个程序的功能:用4*4的矩阵键盘(接P3口)按键盘k1——k16中的任何一个键ki #include //12864液晶上显示数字i-1 (液晶数据口接P0) #define uint unsigned int//键盘扫描的思想是将行设置为低,列设置为高,来读取P3口的值,就能知道是哪个按键按下了 #define uchar unsigned char #define LCDdata P0 sbit E = P2^7; sbit RW = P2^6; sbit RS = P2^5; void init(); void delayms(uint x); void displaykey(); void write_com(uchar com);//写命令 void write_data(uchar date);//写数据 uchar temp; //--------------主函数----------------- void main() { init();// P3=0xfe;//P3=0xfd;//P3=0xfb;//P3=0xf7; while(1) { displaykey(); } } //-------------液晶初始化---------------- void init() { write_com(0x01); write_com(0x02); write_com(0x06); write_com(0x0e); } //------------毫秒延时--------------- void delayms(uint x) { uchar i; while(x--) {

单片机矩阵键盘扫描程序

#include #include #define uint unsigned int #define uchar unsigned char sbit E=P2^7; //1602使能引脚 sbit RW=P2^6; //1602读写引脚 sbit RS=P2^5; //1602数据/命令选择引脚 uint keyflag ; //键盘正在读取标志位,如果Keyflag为1 ,表示正在读取键盘,停止其他功能; char x,y,m,n,c; //Keyflag为0,读取键盘结束,恢复其他功能 char flag1=0; //频率范围10~1000Hz uchar Hrate = 0; //一个周期内高点平占据时间 uchar Lrate = 0; //一个周期内低电平占据时间 uint FREQ0; //定时器T0的计数变量// uint FREQ1; //定时器T1的计数变量// sbit P2_1=P2^0; //设置P2.1,作为信号输出口// uint disbuf[3]; uint figure=0; int sum2=0; int sum1=0; int flag=0; uint count=0; uint max=0; uint disbuf_temp=0; /******************************************************************** * 名称: 1602显示延时函数delay() * 功能: 延时,延时时间大概为5US。

* 输出: 无 ***********************************************************************/ void delay() { _nop_(); _nop_(); _nop_(); _nop_(); _nop_(); } /******************************************************************** * 名称: bit Busy(void) * 功能: 这个是一个读状态函数,读出函数是否处在忙状态 * 输入: 输入的命令值 * 输出: 无 ***********************************************************************/ bit Busy(void) { bit busy_flag = 0; RS = 0; RW = 1; E = 1; delay(); busy_flag = (bit)(P0 & 0x80); E = 0; return busy_flag; } /******************************************************************** * 名称: wcmd(uchar del) * 功能: 1602命令函数 * 输入: 输入的命令值 * 输出: 无 ***********************************************************************/ void wcmd(uchar del) { while(Busy()); RS = 0; RW = 0; E = 0; delay(); P0 = del; delay(); E = 1;

简单按键程序

/****************************************************** 程序功能:用中断方式读取四个独立式按键的键值,同时用 D1~D4四个LED的点亮来指示k1~K4四个按键的按下 ---------------------------------------------------- 测试说明:按动K1~k4四个按键,观察LED是否正确点亮 *******************************************************/ #include #include "BoardConfig.h" #define keyin (P1IN & 0x0f) uchar KeyVal = 0; // 按键的键值 /********************主函数********************/ void main( void ) { WDTCTL = WDTPW + WDTHOLD; //关闭看门狗 BoardConfig(0xb0); //打开流水灯,关闭数码管和电平转换 P1IES = 0x0f; // P1.0~P1.3选择下降沿中断 P1IE = 0x0f; // 打开中断使能 P1DIR = BIT7; //设置P1.0~P.3为输入状态,P.7为输出P1OUT = 0; P2DIR = 0xff; P2OUT = 0xff; _EINT(); //打开全局中断控制位 while(1) { LPM1; P2OUT = ~(1 << (KeyVal - 1)); } } /******************************************* 函数名称:delay 功能:用于消抖的延时 参数:无 返回值:无 ********************************************/ void delay(void) { uint tmp; for(tmp = 12000;tmp > 0;tmp--); }

长短按键程序及其运用

本文讲的是同一按键长短按实现不同功能的程序。 延时10ms子程序: void delay10ms(void) { unsigned char i,j,k; for(i=1;i>0;i--) for(j=38;j>0;j--) for(k=130;k>0;k--); } 长短按键子程序: void anjian(void) { uint j,h; chang=0; duan=0; if(T_F==0&&m==0) //T_F键按下去的时候,标志位m用于防止长短按键T_F二次判定{ for(j=0;j<3000;j++); //防抖 if(T_F==0) { h=0; //计时初始值 m=1; while(T_F==0) //看按了多久 { delay10ms(); //调用延时10ms子程序 h++; //每过10ms加1 if(h>=200) break; //当按键时间过长(约2s),则自动跳出 } if(h>=200)chang=1; //表示为长按(约2s) else duan=1; //表示为短按 } } else if(T_F==1) //按键T_F弹起进行下一次长短按判定 { m=0; } } 有了此长短按键子程序就能在主函数中进行调用,并通过设定长按功能与短按功能,实现同一按键长短按具有不同功能。

简例: #include sbit T_F=P1^5; //定义按键T_F bit chang,duan,m; void delay10ms(void); void anjian(void); void main() { m=0; //标志位m 用于防止长短按键T_F二次判定 while(1) { anjian(); //T_F按键判断 if(chang==1) { 长按T_F所实现功能程序,自己写 } else if(duan==1) { 短按T_F所实现功能程序,自己写 } } } void delay10ms(void) { unsigned char i,j,k; for(i=1;i>0;i--) for(j=38;j>0;j--) for(k=130;k>0;k--); } void anjian(void) { uint j,h; chang=0; duan=0; if(T_F==0&&m==0) //T_F键按下去的时候,标志位m用于防止长短按键T_F二次判定{ for(j=0;j<3000;j++); //防抖 if(T_F==0) {

经典的矩阵键盘扫描程序

键盘是单片机常用输入设备,在按键数量较多时,为了节省I/O口等单片机资源,一般采取扫描的方式来识别到底是哪一个键被按下。即通过确定被按下的键处在哪一行哪一列来确定该键的位置,获取键值以启动相应的功能程序。 4*4矩阵键盘的结构如图1(实物参考见万用板矩阵键盘制作技巧)。在本例中,矩阵键盘的四列依次接到单片机的P1.0~P1.3,四行依次接到单片机的P1.4~P1.7;同时,将列线上拉,通过10K电阻接电源。 查找哪个按键被按下的方法为:一个一个地查找。 先第一行输出0,检查列线是否非全高; 否则第二行输出0,检查列线是否非全高; 否则第三行输出0,检查列线是否非全高; 如果某行输出0时,查到列线非全高,则该行有按键按下; 根据第几行线输出0与第几列线读入为0,即可判断在具体什么位置的按键按下。 下面是具体程序:

void Check_Key(void) { unsigned char row,col,tmp1,tmp2; tmp1 = 0x10; //tmp1用来设置P1口的输出,取反后使 P1.4~P1.7中有一个为0 for(row=0;row<4;row++) // 行检测 { P1 = 0x0f; // 先将p1.4~P1.7置高 P1 =~tmp1; // 使P1.4~p1.7中有一个为0 tmp1*=2; // tmp1左移一位 if ((P1 & 0x0f) < 0x0f) // 检测P1.0~P1.3中是否有一位为0,只要有,则说明此行有键按下,进入列检测 { tmp2 = 0x01; // tmp2用于检测出哪一列为0 for(col =0;col<4;col++) // 列检测 { if((P1 & tmp2)==0x00) // 该列如果为低电平则可以判定为该列 { key_val =key_Map[ row*4 +col ]; // 获取键值,识别按键;key_Map为按键的定义表 return; // 退出循环 } tmp2*=2; // tmp2左移一位 } } } } //结束 这是一种比较经典的矩阵键盘识别方法,实现起来较为简单,程序短小精炼。

4X4矩阵式键盘输入程序

4*4键盘程序readkeyboard: begin: acall key_on jnz delay ajmp readkeyboard delay:acall delay10ms acall key_on jnz key_num ajmp begin key_num:acall key_p anl a,#0FFh jz begin acall key_ccode push a key_off:acall key_on jnz key_off pop a ret key_on: mov a,#00h orl a,#0fh mov p1,a mov a,p1 orl a,#0f0h cpl a ret key_p: mov r7,#0efh l_loop:mov a,r7 mov p1,a mov a,p1 orl a,#0f0h mov r6,a cpl a jz next ajmp key_c next: mov a,r7 jnb acc.7,error rl a mov r7,a ajmp l_loop error:mov a,#00h ret key_c:mov r2,#00h mov r3,#00h mov a,r6

mov r5,#04h again1:jnb acc.0,out1 rr a inc r2 djnz r5, again1 out1: inc r2 mov a,r7 mov r5,#04h again2:jnb acc.4,out2 rr a inc r3 djnz r5,again2 out2: inc r3 mov a, r2 swap a add a,r3 ret key_ccode:push a swap a anl a,#0fh dec a rl a ;行号乘4 rl a mov r7,a pop a anl a,#0fh dec a add a,r7 ret delay10ms: anl tmod,#0f0h orl tmod,#01h mov th0,#0d8h mov tl0,#0f0h setb tr0 wait:jbc tf0,over ajmp wait clr tr0 over:ret 单片机键盘设计 (二)从电路或软件的角度应解决的问题 软件消抖:如果按键较多,硬件消抖将无法胜任,常采用软件消抖。通常采用软件延时的方法:在第一次检测到有键按下时,执行一段延时10ms的子程序后,再确认电平是否仍保持闭合状态电平,如果保持闭合状态电平,则确认真正有键按下,进行相应处理工作,消除了抖动的影响。(这种消除抖动影响的软件措施是切实可行的。)

(完整版)Revit实用快捷键大全(绝对经典)

Revit实用快捷键大全(绝对经典) 分享自:云台网 设置快捷键时注意: 1。设置规则说明:以[ "WA" menu:"建模-墙" ]为例,"WA" 为快捷命令,"建模-墙" 为下拉彩单“建模”中的“墙”命令。注意:在引号中设置完快捷命令后,要将行首的分号; 删除掉,快捷命令才能生效。 2。目前revit的快捷命令为两个字符,例如墙的快捷命令为WA,如果设置了一个字符如W,则在软件中必须连续单击“W+空格键”,才能激活命令。 3。编辑完KeyboardShortcuts.txt 文件并保存后,需要重新启动Revit 方才生效。此时在下拉菜单中的命令后面会出现设置好的快捷命令。 ————编辑menu———— "DE" menu:"编辑-删除" "MD" menu:"编辑-修改" ; "" menu:"编辑-上次选择" "SA" menu:"编辑-选择全部实例" "MV" menu:"编辑-移动" "CO" menu:"编辑-复制" ; "CC" menu:"编辑-复制" "RO" menu:"编辑-旋转" "AR" menu:"编辑-阵列" "MM" menu:"编辑-镜像" "RE" menu:"编辑-调整大小" "GP" menu:"编辑-成组-创建组" "EG" menu:"编辑-成组-编辑" "UG" menu:"编辑-成组-解组" "LG" menu:"编辑-成组-链接组" "EX" menu:"编辑-成组-排除构件" "MP" menu:"编辑-成组-将构件移到项目" "RB" menu:"编辑-成组-恢复已排除构件"

"RA" menu:"编辑-成组-全部恢复" "AP" menu:"编辑-成组-添加到组" "RG" menu:"编辑-成组-从组中删除" "AD" menu:"编辑-成组-附着详图" "PG" menu:"编辑-成组-组属性" "FG" menu:"编辑-成组-完成组" "CG" menu:"编辑-成组-取消组" "PP" menu:"编辑-锁定位置" "UP" menu:"编辑-解锁位置" "CS" menu:"编辑-创建类似实例" "PR" menu:"编辑-属性" ————绘图menu———— "DI" menu:"绘图-尺寸标注" "EL" menu:"绘图-高程点标注-高程点" ; "" menu:"绘图-高程点标注-高程点坐标" "TX" menu:"绘图-文字" "GR" menu:"绘图-网格" "LL" menu:"绘图-标高" "TG" menu:"绘图-标记-按类别" "RM" menu:"绘图-房间" "RT" menu:"绘图-房间标记" "DL" menu:"绘图-详图线" ————工具menu———— F7 menu:"工具-拼写检查" "MA" menu:"工具-匹配" "LW" menu:"工具-线处理" "PT" menu:"工具-填色"

单片机4X4键盘扫描和显示课程设计

二、设计内容 1、本设计利用各种器件设计,并利用原理图将8255单元与键盘及数码管显示单元连接,扫描键盘输入,最后将扫描结果送入数码管显示。键盘采用4*4键盘,每个数码管可以显示0-F共16个数。将键盘编号,记作0-F,当没按下其中一个键时,将该按键对应的编号在一个数码管上显示出来,当在按下一个 键时,便将这个按键的编号在下一个数码管上显示,数码管上 可以显示最近6次按下的按键编号。 设计并实现一4×4键盘的接口,并在两个数码管上显示键盘所在的行与列。 三、问题分析及方案的提出 4×4键盘的每个按键均和单片机的P1口的两条相连。若没有按键按下时,单片机P1口读得的引脚电平为“1”;若某一按键被按下,则该键所对应的端口线变为地电平。单片机定时对P1口进行程序查询,即可发现键盘上是否有按键按下以及哪个按键被按下。 实现4×4键盘的接口需要用到单片机并编写相应的程序来识别键盘的十六个按键中哪个按键被按下。因为此题目还要求将被按下的按键显示出来,因此可以用两个数码管来分别显示被按下的按键的行与列

表示任意一个十六进制数)分别表示键盘的第二行、第三行、第四行;0xXE、0xXD、0xXB、0xX7(X表示任意一个十六进制数)则分别表示键盘的第一列、第二列、第三列和第四列。例如0xD7是键盘的第二行第四列的按键 对于数码管的连接,采用了共阳极的接法,其下拉电阻应保证芯片不会因为电流过大而烧坏。 五、电路设计及功能说明 4×4键盘的十六个按键分成四行四列分别于P1端口的八条I/O 数据线相连;两个七段数码管分别与单片机的P0口和P2口的低七 位I/O数据线相连。数码管采用共阳极的接法,所以需要下拉电阻 来分流。结合软件程序,即可实现4×4键盘的接口及显示的设计。 当按下键盘其中的一个按键时,数码管上会显示出该按键在4×4键 盘上的行值和列值。所以实现了数码管显示按键位置的功能 四、设计思路及原因 对于4×4键盘,共有十六个按键。如果每个按键与单片机的一个引脚相连,就会占用16个引脚,这样会使的单片机的接口不够用(即使够用,也是对单片机端口的极大浪费)。因此我们应该行列式的接法。行列式非编码键盘是一种把所有按键排列成行列矩阵的键盘。在这种键若没有按键按下时,单片机从P1口读得的引脚电平为“1”;若某一按键被按下,则该键所对应的端口线变为地电平。因此0xEX(X表示任意4×4键盘的第一行中的某个按键被按下,相应的0xDX、0xBX、0x7X(X 二、实验内容

89c51一键多功能按键识别技术

9.一键多功能按键识别技术 1.实验任务 如图4.9.1所示,开关SP1接在P3.7/RD管脚上,在AT89S51单片机的P1端口接有四个发光二极管,上电的时候,L1接在P1.0管脚上的发光二极管在闪烁,当每一次按下开关SP1的时候,L2接在P1.1管脚上的发光二极管在闪烁,再按下开关SP1的时候,L3接在P1.2管脚上的发光二极管在闪烁,再按下开关SP1的时候,L4接在P1.3管脚上的发光二极管在闪烁,再按下开关SP1的时候,又轮到L1在闪烁了,如此轮流下去。 2.电路原理图 图4.9.1 3.系统板上硬件连线 (1.把“单片机系统”区域中的P3.7/RD端口连接到“独立式键盘”区域中的SP1端口上; (2.把“单片机系统”区域中的P1.0-P1.4端口用8芯排线连接到“八路发光二极管指示模块”区域中的“L1-L8”端口上;要求,P1.0连接到L1, P1.1连接到L2,P1.2连接到L3,P1.3连接到L4上。

4.程序设计方法 (1.设计思想由来 在我们生活中,我们很容易通过这个叫张三,那个叫李四,另外一个是王五;那是因为每个人有不同的名子,我们就很快认出,同样,对于要通过一个按键来识别每种不同的功能,我们给每个不同的功能模块用不同的ID号标识,这样,每按下一次按键,ID的值是不相同的,所以单片机就很容易识别不同功能的身份了。 (2.设计方法 从上面的要求我们可以看出,L1到L4发光二极管在每个时刻的闪烁的时间是受开关SP1来控制,我们给L1到L4闪烁的时段定义出不同的ID号,当L1在闪烁时,ID =0;当L2在闪烁时,ID=1;当L3在闪烁时,ID=2;当L4在闪烁时,ID=3;很显然,只要每次按下开关K1时,分别给出不同的ID号我们就能够完成上面的任务了。 下面给出有关程序设计的框图。 5.程序框图 图4.9.2

4X4扫描式矩阵键盘课程设计讲解

4x4矩阵键盘识别设计班级:1221201 专业:测控技术与仪器 姓名:涂勇 学号:2012 2012 0110 指导老师:钟念兵 东华理工大学 2016年1月1日

摘要 随着21世纪的到来,电子信息行业将是人类社会的高科技行业之一,电子式设施现代化的基础,也是人类通往科技巅峰的直通路。电子行业的发展从长远来看很重要,但最主要的还是科技问题。 矩阵式键盘提高效率进行按键操作管理有效方法,它可以提高系统准确性,有利于资源的节约,降低对操作者本身素质的要求。是它能准时、实时、高效地显示按键信息,以提高工作效率和资源利用率。 矩阵式键盘乃是当今使用最为广泛的键盘模式,该系统以N个端口连接控制N*N 个按键,显示在LED数码管上。单片机控制依据这是键盘显示系统,该系统可以对不同的按键进行实时显示,其核心是单片机和键盘矩阵电路部分,主要对按键与显示电路的关系、矩阵式技术及设备系统的硬件、软件等各个部分进行实现。 4*4矩阵式键盘采用STM32嵌入式微处理器为核心,主要由矩阵式键盘电路、硬件电路、显示电路等组成,软件选用C语言编程。STM32将检测到的按键信号转换成数字量,显示于LED显示器上。该系统灵活性强,易于操作,可靠性高,将会有更广阔的开发前景。

目录 第一章:系统功能要求--------------------------------------------------------4*4 矩阵式键盘系统概述------------------------------------------------ 本设计任务和主要内容--------------------------------------------------- 第二章:系统硬件电路的设计------------------------------------------------硬件系统主要思路和电路原理图- -------------------------------------- 硬件上键盘规划- --------------------------------------------------------- 第三章:系统程序的设计------------------------------------------------------程序的编写步骤----------------------------------------------------------- 编写的源程序-------------------------------------------------------------- 第四章:心得体会---------------------------------------------------------------

51单片机矩阵键盘扫描程序

/*----------------------------------------------- 名称:矩阵键盘依次输入控制使用行列逐级扫描 论坛:https://www.360docs.net/doc/b28219846.html, 编写:shifang 日期:2009.5 修改:无 内容:如计算器输入数据形式相同从右至左使用行列扫描方法 ------------------------------------------------*/ #include //包含头文件,一般情况不需要改动,头文件包含特殊功能寄存器的定义 #define DataPort P0 //定义数据端口程序中遇到DataPort 则用P0 替换 #define KeyPort P1 sbit LATCH1=P2^2;//定义锁存使能端口段锁存 sbit LATCH2=P2^3;// 位锁存 unsigned char code dofly_DuanMa[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f, 0x77,0x7c,0x39,0x5e,0x79,0x71};// 显示段码值0~F unsigned char code dofly_WeiMa[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};//分别对应相应的数码管点亮,即位码 unsigned char TempData[8]; //存储显示值的全局变量 void DelayUs2x(unsigned char t);//us级延时函数声明 void DelayMs(unsigned char t); //ms级延时 void Display(unsigned char FirstBit,unsigned char Num);//数码管显示函数 unsigned char KeyScan(void);//键盘扫描 unsigned char KeyPro(void); void Init_Timer0(void);//定时器初始化 /*------------------------------------------------ 主函数 ------------------------------------------------*/ void main (void) { unsigned char num,i,j; unsigned char temp[8]; Init_Timer0(); while (1) //主循环 { num=KeyPro();

4X4键盘扫描实验

44 键盘扫描实验 实验目的 1、学习HDL程序的基本设计技巧; 2、掌握矩阵键盘的扫描原理和使用方法。 Verilog程序: module hex_keypad(Col,Code,show,show1,count,scan,clock,Row); output[3:0] Code,Col,count; //定义列信号Col、行列信号共同决定的 输出代码Code、以及计数变量count output[7:0] show,show1; //定义七段显示变量show、show1 input[3:0] Row; //定义输入行信号Row input scan; //定义数码管选择信号scan input clock; //定义时钟信号clock reg[3:0] Col,Code,count; //将输出信号定义为reg型 reg[7:0] show,show1; reg[1:0] cn; //定义reg型变量cn,用于计数 reg reset,count_up,count_down; //定义变量reset用于计数清零,count_up 开始加计数,count_down开始减计数reg[15:0] times1,times2; //定义变量times1、times2用于决定开 始计数的时间 assign scan=1'b1; //将数码管选择信号赋值为1

always@(posedge clock) //产生列信号 if(cn==4)cn<=0; else cn<=cn+1; always@(cn) case(cn) 2'b00:Col=4'b1110; 2'b01:Col=4'b1101; 2'b10:Col=4'b1011; 2'b11:Col=4'b0111; endcase always@(posedge clock) //行列信号共同决定输出代码Code case({Row,Col}) 8'b1110_1110:Code=4'h0; 8'b1110_1101:Code=4'h1; 8'b1110_1011:Code=4'h2; 8'b1110_0111:Code=4'h3; 8'b1101_1110:Code=4'h4; 8'b1101_1101:Code=4'h5;

经典的矩阵键盘扫描程序

经典的矩阵键盘扫描程序 查找哪个按键被按下的方法为:一个一个地查找。 先第一行输出0,检查列线是否非全高; 否则第二行输出0,检查列线是否非全高; 否则第三行输出0,检查列线是否非全高; 如果某行输出0时,查到列线非全高,则该行有按键按下; 根据第几行线输出0与第几列线读入为0,即可判断在具体什么位置的按键按下。 下面是具体程序: void Check_Key(void) { unsigned char row,col,tmp1,tmp2; tmp1 = 0x10; //tmp1用来设置P1口的输出,取反后使 P1.4~P1.7中有一个为0 for(row=0;row<4;row++) // 行检测 { P1 = 0x0f; // 先将p1.4~P1.7置高 P1 =~tmp1; // 使P1.4~p1.7中有一个为0 tmp1*=2; // tmp1左移一位 if ((P1 & 0x0f) < 0x0f) // 检测P1.0~P1.3中是否有一位为0,只要有,则说明此行有键按下,进入列检测 { tmp2 = 0x01; // tmp2用于检测出哪一列为0 for(col =0;col<4;col++) // 列检测

{ if((P1 & tmp2)==0x00) // 该列如果为低电平则可以判定为该列 { key_val =key_Map[ row*4 +col ]; // 获取键值,识别按键;key_Map为按键的定义表 return; // 退出循环 } tmp2*=2; // tmp2左移一位 } } } } //结束 这是一种比较经典的矩阵键盘识别方法,实现起来较为简单,程序短小精炼。 4*4矩阵键盘扫描程序 /* 设置行线为输入线,列线为输出线 */ uchar KeyScan(); //按键扫描子程序 void delay10ms(); //延时程序 uchar key_free(); //等待按键释放程序 void key_deal(); //键处理程序 //主程序 void main() { while(1) { KeyScan(); key_free(); key_deal(); } } //按键扫描子程序 uchar KyeScan() { unsigned char key,temp; P1=0xF0; if(P1&0xF0!=0xF0) { delay10ms(); //延时去抖动 if(P1&0xF0!=0xF0) { P1=0xFE; //扫描第一列

C语言 4x4键盘扫描程序

C语言 4*4键盘扫描电路模块 #include #define uchar unsigned char //byte #define uint unsigned int //word void keyscan(); //函数声明 void fun0(); //定义每个按键的功能函数 void fun1(); void fun2(); void fun3(); void fun4(); void fun5(); void fun6(); void fun7(); void fun8(); void fun9(); void fun10(); void fun11(); void fun12(); void fun13(); void fun14(); void fun15(); /******************************************************************** ******** 主程序 ********************************************************************* *******/ void main(void) { while(1) //循环扫描 { keyscan(); } } /******************************************************************** ******** 按键功能函数 fun0~fun15 ********************************************************************* *******/ void fun0(void) { P3=0x00; }

相关文档
最新文档