分频器倒相孔的作用

分频器倒相孔的作用
分频器倒相孔的作用

分频器倒相孔的作用

分频器、倒相孔是做什么用的?

可以这么说:音箱里的附件很少,但作用都非常关键,分频器就是其中之一。分频器用来做啥?这要从声音的多路重放说起。中学物理课时你们老师站在讲台上大声说:“同学们,声音的频率范围大约从20Hz到20000Hz。”还记得吗?OK,音箱的任务就是要尽量完整地播放出整个音频范围的声音,当然,低频端要达到20Hz比较困难一点,但一般也应达到40-50Hz。另一方面,限于目前的技术,用一只喇叭来覆盖40-20000Hz的频率范围相当困难,解决的办法是用多只喇叭分段重放,低频段用低音喇叭,中频段用中音喇叭,不用说,高频段当然就用高音喇叭喽。三段组合起来,就能重放接近完整的音频范围,这就是所谓的三分频音箱。当然不一定非得分三段,分两段或者四段、五段也可以,但原理上跟分三段是一样的。频率覆盖的问题解决了,可普通的功放每声道只有一个输出口,输出的是全频带信号,怎么跟低、中、高音三只喇叭连接呢?这就需要使用分频器。分频器的作用就象一个过滤器,将功放送来的全频带信号过滤成低音、中音、高音三路,让各路的输出信号与各自对应的喇叭相连,大家河水不犯井水。不用分频器,直接把各路喇叭与功放并接起来可以吗?不可以!那样会造成很严重的相互干扰,例如高音喇叭中串入不需要的大功率低音信号,导致声音失真,甚至烧毁喇叭单元。分频器在设计或选用上还有几个必需遵守的准则,否则不是无法正常工作,就是严重破坏声音的效果。第一是分频器有二路、三路、四路甚至更多路之分,几分频的音箱设计就选几路输出的分频器;第二,分频器的关键参数是分频点,即两个相邻频段的频率交叉点,需要根据喇叭的频响特性来确定;第三,分频器的另一个重要特性是分频点之外的衰减斜率,也需要根据喇叭的频响特性来确定;第四,分频器的每一路输出都有一个标称的负载阻抗,必需和配接的喇叭阻抗一致。一个小小的分频器竟然包含这么多内容,没想到吧?

倒相管是音箱里面的另一个附件,其实就是一截空心管,通常用PVC材料制成,也有的是金属管或硬纸管,只要内径和长度相同,效果都是一样的。倒相管通过音箱前面板(术语叫障板)或后背板的一个出口与外界空间相通,那个出口就是倒相孔。倒相管不是所有的音箱都有,只有倒相式音箱才用,而密闭式音箱(就是箱体上没有任何开口的音箱)是不用倒相管的。倒相管有什么用呢?顾名思义,倒相管就是用在倒相式音箱上,起低频声波倒相作用的。原来,低音喇叭的振膜在前后振动的时候,除了要朝前方(箱外)辐射声波之外,也会朝箱内辐射声波。如果是密闭音箱,朝箱内辐射的这部分声波能量就浪费了,喇叭的电-声转换效率本来就低得可怜,通常只有百分之几,这样浪费实在很可惜,因此有人就想到在箱体上开个孔,把辐射到箱内的低频声波再释放出来。随便挖个孔可不行,因为振膜前后辐射的声波相位正好相反,如果直接放出来,总的低频能量不但不能加强,反而会被抵消削弱。所以必需想办法把辐射到箱内的声波相位再颠倒一次,即反转180度,这样就和喇叭前向辐射的声波同相了。倒相管就是起这个作用的。只要精心选择倒相管的内径和管子的长度,就能使声波在设计者所需的特定频率上实现180度的相位反转。显然,如果胡乱找一截管子插到音箱上,十有八九都会使音箱的低频响应变坏。

音箱里面还有什么?通常还会有一些象海绵一样的东西,叫吸音棉,作用是吸收箱内有害的驻波,同时还能使箱体的等效容积加大,所以如果音箱制作完成后,发现容积设计得不太理想,可以用增减吸音棉的办法来实现微调。吸音棉是通称,一般采用玻璃纤维棉或者建筑上使用的矿渣棉,当然也有用海绵的,不过海绵的吸音效果不理想。

音箱里面的构造有简单有复杂,最简单的就是一个空箱,里面什么结构也没有;有些会钉上一些木条或隔板,起加固箱体的作用;还有很复杂的结构:音箱内部被纵横交错的隔板分成很多小空间,这些小空间一般是相互连通的。这样做的目的一是加固箱体,二是可以抑制

箱内的谐振现象,让声音更干净,例如B&W音箱的矩阵结构就是如此。

最后一个问题,关于喇叭的布局,原则上怎么排布都可以的,并没有固定的模式。习惯上是低音在下,高音和中音在上(高音和中音的位置关系可能有多种,可以高音在中音上,也可以中音在高音上,还有两者左右并排的),然而也有不按常理出牌的。例如丹拿以前的旗舰Consequence就反其道行之,最大的低音在最上面,一个个排下来,高音单元在最下边,尽管看起来十分别扭,但效果却惊人的好。所以说,喇叭怎么布局并不重要,只要效果好就行了。

分频器设计实验报告

竭诚为您提供优质文档/双击可除分频器设计实验报告 篇一:n分频器分析与设计 一、实验目的 掌握74190/74191计数器的功能,设计可编程计数器和n分频器,设计(n-1/2)计数器、分频器。 二、实验原理 分频是对输入信号频率分频。1、cD4017逻辑功能 2、74190/74191逻辑功能 3、集成计数器级联 当所需计数器模数超过所选计数器最大计数状态时,需要采取多片计数器级联。方法分为异步级联和同步级联。4、集成计数器的编程 在集成计数器的时序基础上,外加逻辑门电路等,反馈集成计数器的附加功能端,达到改变计数器时序的目的。可采用复位编程和置数编程两种。5、多片74190/74191计数器级联 可根据具体计数需求和增减需求,选用74190或74191,

选择不同功能、同步或异步设计等。 6、74190/74191计数器编程 由于没有复位端,因此只能使用置数编程,置数端置为0即可异步置数。可根据需求设计n进制加法或减法计数器。 n与译码逻辑功能如下。 7、74191组成(n-1/2)分频器电路如下图: u3 计数器的两个循环中,一个循环在cp的上升沿翻转;另一个是在cp的下降沿翻转,使计数器的进制减少1/2,达到(n-1/2)分频。 三、实验仪器 1、直流稳压电源1台 2、信号发生器1台 3、数字万用表1台 4、实验箱1台 5、示波器1台 四、仿真过程 1、按照cD4017和74191功能表验证其功能。 2、74191组成可编程计数器 (1)构成8421bcD十进制加法计数器,通过实验验证正确性,列出时序表。设计图如下 仿真波形如下 (2)构成8421bcD十进制减法计数器,通过实验验证正确性,列出时序表。设计图如下: 仿真波形如下

VHDL数字系统课程设计报告-5分频器的设计

安康学院HDL数字系统课程设计报告书 课题名称:占空比为1:1的奇数分频器设计 姓名: 学号: 院系: 专业: 指导教师: 时间:

课程设计项目成绩评定表设计项目成绩评定表

课程设计报告书目录 设计报告书目录 一、设计目的 (1) 二、设计思路 (1) 三、设计过程 (1) 3.1、系统方案论证 (1) 3.2、程序代码设计 (2) 四、系统调试与结果 (4) 五、主要元器件与设备 (5) 六、课程设计体会与建议 (5) 6.1、设计体会 (5) 6.2、设计建议 (6) 七、参考文献 (6)

一、设计目的 1、了解EDA软件在电子设计当中的重要作用。 2、熟悉并掌握QuartusⅡ开发软件的基本使用方法。 3、运用ModelSim软件对分频器进行仿真测试。 二、设计思路 对于实现一个占空比为1:1的5倍奇数分频,首先经过上升沿触发进行模5计数,计数选定到2进行输出时钟翻转,然后经过4再次进行翻转得到一个占空非1:1奇数5分频时钟。再者同时进行下降沿触发的模5计数,到和上升沿触发输出时钟翻转选定值相同值时,进行输出时钟翻转,同样经过4时,输出时钟再次翻转得到占空比非1:1的5分频时钟。两个占空比非1:1的5分频时钟进行相或运算,得到占空比为1:1的5分频时钟。 要设计占空比为50%的奇数(n)倍分频器,可以先分别设计从时钟上升沿、下降沿开始的占空比为(n-1)/2n的分频器A,B。将A与B相或结果就是占空比为50%的奇数倍分频器。因为A,B产生的信号的高电平持续时间均比低电平持续时间少一个时钟周期,B相对A来说可以说是延时了半个时钟,那么A与B进行或运算,则结果的高电平持续时间增加了半个时钟周期,而低电平持续时间则减少了半个时钟周期。因此占空比达到50%。 三、设计过程 3.1系统方案论证 奇数倍(2N+1)分频: (1)使用模为2N+1的计数器,让输出时钟在X-1(X在0到2N-1之间)和2N时各翻转一次,则可得到奇数分频器,但是占空比并不是50%(应为 X/(2N+1))。得到占空比为50%的奇数分频器的基本思想是:将得到的上升沿触发计数的奇数分频输出信号CLK1,和得到的下降沿触发计数的相同(时钟翻转值相同)奇数分频输出信号CLK2,进行相或运算。如图1所示. 图 2

音响方面的一些小知识

收集整理的一些小知识: 音源:音源就是提供声音的来源,就是因为音源本身信号较微弱,而要满足人们需要的响度就需要进行扩大声音,所以才有扩声系统的出现。一般音源有CD ,DVD,VCD,话筒拾取的信号等等, 调音台:英文(MIXER/MIXING),是专业音响系统中必不可少的设备,它主要起着四个作用:1,信号混合,2信号分配。3信号处理(也就是所谓调音),4信号放大。它在系统中主要起将小电压信号进行放到大我们需求的电平大小,然后将多路信号进行任意分配再进行混合输出(也就是矩阵结构)。尤其是放大这里,本人看过一些资料,有一个形象的比喻很贴切,就是增益这里就好像一条河一样,当河中的水比较浅时,这样水在通过河时就容易将河中的泥沙一起带走冲走,如果河中的水较深时,河水通过河道时就比较清澈见底,同样泥沙也就沉底了,没有被河水一同冲走,流走。这里的泥沙就是输入通道或其它的噪声,而河水就是我们处理的信号。所以增益的作用也就提高了系统的信噪比,降低了系统的噪声。还有可以将信号源进行音色方面的调整,也就是快速简单的调音工作就是在调音台上的参量EQ进行的。在系统中就好像是一个加工工厂一样。 均衡器:英文(EQ),EQ分两种,一种为图示均衡器(GEQ),另一种为参量均衡器(PEQ)。均衡器就是将全频段信号分为多个小频段,再分别对以一个频点为中心频率地对频段进行相应的提升和衰减,也就是GAIN。在系统中主要起对声场中的不均匀(声场中频率的传输特性引起的)各种缺陷进行补偿,使声场达到频响平坦和均匀。还可对音响设备(如音箱)自身的频响性能进行补偿与校正及对节目源的音色调节。另外在扩声系统中对啸叫的控制也是EQ的作用,如舞台返听用的EQ就是此作用。它在系统中把它称为频率处理设备。 压限器:英文(COMP/LIMITER),又称为压缩限幅器(COMP)。将压限器串接在系统中EQ之前,主要起着对节目信号的压缩作用,此时称为压缩器。对节目中突发的强信号进行按照一定比例进行压缩输出,还可以使整个节目的音量保持平稳(比如话筒离嘴的距离会不一样,这样就造成节目信号电平大小不一)。另一个作用就是调节节目的软硬效果(如迪厅,舞厅等)使节目更具振憾感。软硬效果的调节需要结合压缩器的压缩比,起控时间及释放时间的结合调节才能达到各种意想不到的效果。它在音响系统中主要称为动态处理设备。

分频器的设计2014-1-10 10.29.8

武汉理工大学《微机原理与接口技术》课程设计报告书

号:
0121105830129
课 程 设 计
题 学 专 班 姓
目 院 业 级 名
分频信号发生器的分析与设计 自动化学院 电气工程及自动化 电气 1107 班 成涛 陈静 教授
指导教师
2014 年
01 月
09 日

武汉理工大学《微机原理与接口技术》课程设计报告书
课程设计任务书
学生姓名: 指导教师: 题 目: 成涛 专业班级: 电气 1107 班 陈静 教授 工作单位: 自动化学院 分频信号发生器的分析与设计
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1. 设:有一输入方波信号 f0(<1MHz) 。要求输出信号:f1=f0/N,N 通过键盘 输入。 2. 画出简要的硬件原理图,编写程序。 3. 撰写课程设计说明书。 内容包括:摘要、 目录、 正文、 参考文献、 附录 (程 序清单) 。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软 件思想,流程,源程序设计及说明等) 、程序调试说明和结果分析、课程设计收 获及心得体会。
时间安排: 12 月 26 日----- 12 月 28 日 查阅资料及方案设计 12 月 29 日----- 01 月 0 2 日 编程 01 月 03 日-----0 1 月 07 日 调试程序 01 月 08 日----- 01 月 09 日 撰写课程设计报告
指导教师签名: 系主任(或责任教师)签名:
年 年
月 月
日 日

15分频器

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity anyodd_div is generic(n:integer:=15); port(clk:in std_logic; clkdiv:out std_logic); end; architecture one of anyodd_div is signal cnt1:integer:=0; signal cnt2:integer:=0; signal clk_temp1:std_logic; signal clk_temp2:std_logic; begin ------------------------------- process(clk) begin if clk'event and clk='1'then if cnt1=n-1 then cnt1<=0; else cnt1<=cnt1+1; end if; end if; end process; --------------------------- process(clk) begin if clk'event and clk='0'then if cnt2=n-1 then cnt2<=0; else cnt2<=cnt2+1; end if; end if; end process; ----------------------------- process(clk) begin if clk'event and clk='1'then if cnt1=0 then clk_temp1<='1'; elsif cnt1<=(n-1)/2 then clk_temp1<='0'; end if; end if;

分频器设计_可控型

现代科技的发展对信号源提出了越来越高的要求,要求信号源的频带宽、频率分辨率高、频率稳定度高、相位噪声和杂散很低、能程控等.频率合成技术是产生大量高精度频率信号的主要技术,频率合成器是一种相位锁定装置,是通讯、雷达、仪器仪表、高速计算机和导航设备中的一个重要组成部分。频率合成器是可由一个工作范围在G地范围的锁相环构成.在高频范围内工作的锁相环是整个系统中功耗最大的部分之一,因此对锁相环的低功耗研究对降低整个系统的功率损耗有着重要的意义.分数分频频率合成器则是近年来出现的一种新技术,它与传统的整数分频频率合成器相比具有频率分辨率高、相位噪声低等优点。前置分频器位于高频锁相环的反馈部分.由于工作频率很高,前置分频器也是锁相环中功耗最大的部分之一。低功耗的前置分频器设计可以很大程度上降低整个锁相环的功率损耗. 目录 摘要 ................................................................................................................ 错误!未定义书签。Abstract ........................................................................................................... 错误!未定义书签。目录 .. (1) 引言 (1) 一、分频器设计 (2) 1.1、分频器的系统介绍 (2) 1.2、前置放大器的设计 (3) 二、前置分频器单元结构 (3) 2.1、TSPC结构 (3) 2.2、传统结构 (4) 2.3、转换器 (5) 三、小数分频器中预分频器的设计 (5) 3.1、小数分频器相位杂散的分析 (5) 3.2、可编程预分频器结构 (6) 结论 (6) 参考文献 (8) 引言 所谓频率合成,又称频率综合,简称频综,是由一个(或几个)具有低相位噪

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

限幅器的作用

什么是限幅器 微波限幅器是一种自控衰减器, 是一种功率调制器件。当信号输入功率较小时无衰减通过,当输入功率增大到超过某一值时,衰减会迅速增大,这一功率值称为门限电平,输入功率超过门限电平后,输出功率不再增加。实际工作中,限幅器基本上都是为整机需要而专门设计的。常用于微波扫频信号源或相位检测系统中,使输出信号幅度保持稳定。功率限幅器设计用于通信、遥感、雷达系统和高频仪器领域电子元件的输入保护。它针对不同的工作频率、需承受的微波功率、微波脉冲宽度、占空比等要求进行设计.微波限幅器通常用在接收机的放大器或混频器的前面保护它们,免受强信号的影响而烧毁。在扫频仪或测相系统中可使输出幅度保持恒定。限幅器一般由输入端口和输出端口上的隔直流电容器和集成式二极管限幅器电路组成。集成电路包含着透过50欧姆传输线并联的平面掺杂阻挡层(PDB)或Schottky二极管。限幅器在低输入电平时有很低的插入损耗和线性特性,可提供对瞬态或短时间过载的保护。它们有很低的插入损耗和回波损耗,可为您的设备提供安全保护,避免因过大射频功率、直流电压瞬变或静电放电(ESD)导致损坏。 微波限幅器主要参数定义 1.限幅电平:限幅器开始限幅时的功率值。 2.插入损耗:输入电平低于门限电平时输入信号损耗,一般在-10dBm 下测试。 3.承受功率:能承受的最大输入功率(脉冲功率,脉冲平均功率,连续波功率)。 4.恢复时间:以输入脉冲终止开始,到限幅器损耗比插入损耗大3dB为止的时间。

限幅器原理是什么? 理想限幅器是一个无记忆的非线性电路。理想限幅器应具有放大和限幅的双重功能,且要求其放大量为无穷大、限幅是瞬时的。通常限幅器是由非线性限幅器件和一个带通滤波器组成,调频波通过它时,首先由非线性器件将其超过限幅电平E的那部分幅度切去,然后经带通滤波器滤出其基波分量,以使输出电压的频率仍和输入的频率一致。实际设计中,我们采用在一个近似中频带宽的限幅器中加入适量的正反馈,就能够明显地改善它的削弱比,起到几级无正反馈但其它结构相同的限幅器的作用。 限幅器常用在接收设备的前级,对超过门限的大功率输入信号限幅,起到保护后级敏感电路和器件的作用。限幅器的峰值输入功率是在脉冲调制占空比为1%(脉宽10μS,6GHz 以下;脉宽1μS,6GHz 以上)的条件下测试的结果。插损和驻波比是在输入连续波功率-10dBm的条件下测试的结果。 压缩/限幅器的调整及应用 人类的听感动态范围能承受的最大响度和能感受的最安静声音响度的范围可达100万:1(即106倍)听感的动态范围达120dB。扩声系统声音重放的动态范围由于受电子设备的限制,远比人耳的动态范围小很多。最低声音的响受系统中不相关噪声的限制,使小的声音信号淹没在噪声中而无法听到;最大声音的响度受信号削波的限制,使音乐信号中的特大峰值被“砍头”(削波),不仅

实验一 QUARTUS II入门和分频器设计

实验报告 课程名称EDA技术与VHDL设计 实验项目Quartus II入门 实验仪器计算机、Quartus II 系别信息与通信工程学院 专业电子信息工程 班级/学号电信1201 / 2012010970 学生姓名张宗男 实验日期 成绩 指导教师

实验一 QUARTUS II入门和分频器设计 一、实验目的 1.掌握QUARTUS II工具的基本使用方法; 2.掌握FPGA基本开发流程和DE2开发板的使用方法; 3.学习分频器设计方法。 二、实验内容 1.运用QUARTUS II 开发工具编写简单LED和数码管控制电路并下载到DE2 实验开发板。2.在QUARTUS II 软件中用VHDL语言实现十分频的元器件编译,并用电路进行验证,画出仿真波形。 三、实验环境 1.软件工具:QUARTUS II 软件;开发语言:VHDL; 2.硬件平台:DE2实验开发板。 四、实验过程 1.设计思路 (1)、 18个开关控制18个LED灯,通过低位四个开关的‘1’‘0’控制LED灯上7段灯的显示(2)、 实现10分频IF(count="1001") THEN count<="0000"; clk_temp<=NOT clk_temp; 达到9的时候,把“0000”给到cout,然后clk_temp 信号翻转,从而实现10分频。 2.VHDL源程序 (1)、 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY e_zhangzongnan IS PORT(SW :IN STD_LOGIC_VECTOR(0 TO 17); HEX0 :OUT STD_LOGIC_VECTOR(0 TO 6);

分频器

第36卷第2期信息化研究Vo l.36 No. 2 2010年2月I nfo r m a t iza t ion R e s ea r ch Feb. 2010 基于FPGA 的任意数值分频器的设计 周殿凤,康素成,王俊华 (盐城师范学院物理科学与电子技术学院,江苏省盐城市224002 ) 摘要:介绍了基于FPG A 的任意分频系数的分频器的设计,该分频器能实现分频系数和占空比均可以调节的3 类分频:整数分频、小数分频和分数分频。所有分频均通过VHDL 语言进行了编译并且给出了仿真图。本设计中的分频器没有竞争冒险,可移植性强,占用的FPG A资源少。本设计在A l2 te ra 公司的Cyc l o neⅡ系列EP2C35 型FPG A芯片中完全可实现,结果表明设计是正确和可行的。由于分频器应用非常广泛,故本设计具有很强的实用价值。 关键词: FPG A; VHDL;分频器 中图分类号: TP277 0 引言 FPG A 作为未来数字系统的 3 大基石( FPG A、D SP、CPU ) 之一, 成为目前硬件设计研究的重点[ 1 ] 。 在数字系统的设计中,设计人员会遇到各种形式的分频需求,如偶数分频、奇数分频、半整数分频、小数分频率的1 / 10。从图1可看出当j = 5 = m/ 2时输出时钟占空比为50 %。 图110分频器波形图 和分数分频等。在某些设计中,系统不仅对频率有要求,而且对占空比也有严格的要求。由计数器或计数器的级联构成各种形式的偶数分频及非等占空比的奇数分频实现起来较为简单, 但对半整数分频及等占空比的奇数分频实现较为困难,小数分频和分数分频更 占空比为50 %的奇数分频实现起来较为困难,实现的方法较多,文中介绍了一种占用资源较少的方法。假设要实现占空比为50 %的m = 2 n+ 1 分频, 选择两个2 n+ 1进制计数器控制两个中间时钟c lk1 和c l k2 , 1 号计数器在输入时钟c l k的上升沿计数, 2 号在c l k 的 困难[ 2 ] 。为了解决这一问题,本文首先分析了各种分下降沿计数。当计数器1 输出为0 ~n - 1 时c l k1 为 频器的设计方法,然后将其综合起来成为一个通用分频器。通过分析分频器的原理,探索出了一套简单明了的设计方法,设计了一个任意数值通用分频器,该分频器没有毛刺且占用的逻辑资源较少。 1 整数分频器 偶数分频器的设计较为简单,可以利用计数器实1 ,输出为n ~2 n 时c l k1为0 且计数器1 输出为 2 n时计数器1清0 , 如此循环下去; 当计数器2输出为0 ~n - 1 时c l k2为1 ,输出为n ~2 n 时c l k2为0且计数器2输出为 2 n时计数器 2 清0 ,如此循环下去。可见2种计数器实现方法一样,只是翻转的边沿不一样,最终输出的时钟c l kou t = c l k1 + c l k2。占空比为50 %的奇数分频仿真图见图2 ,图中m = 9 ,因此是9 分频电路, 现[ 3 ] 。假设要实现m = 2 n分频, 当计数器的值为0 ~通过预置值m 可以非常方便的对分频系数进行设置 j - 1时,输出时钟为 1 ,计数器的值为j~2 n- 1 时,输出时钟为0 ,在计数器值为2 n - 1 时复位计数器,如此循环下去,即可实现占空比为j/2 n的分频, m 和j都是预置数, j用于调节占空比, m 调节分频系数。m 的值不同,分频系数也就不同, 如果预置数m 是奇数则可实现占空比不等于50 %的奇数分频。图1 中m 取值10,故实现的是10 分频, 输出时钟频率是输入时钟频收稿日期: 2009212 220; 修回日期: 2010201 209。 基金项目:江苏省高校自然科学基金资助项目( 08KJD140005) ;盐城师范学院校级基金资助项目( 09YCK L 016) 。而不需要重新编程。 图29分频器波形图 2小数分频器 小数分频器是通过可变分频和多次平均的方法得到的[ 4 25 ] 。假设要进行m . n分频( m 、n都是整数, 且n < 10 ),因为只有一位小数,所以总共要进行1 0次分 ·59·

基于VHDL的分频器设计[开题报告]

开题报告 电子信息工程 基于VHDL的分频器设计

三、课题研究的方法及措施 由于本课题所设计的分频器基于EDA技术,应用VHDL硬件语言设计完成的,因此选择合适的硬件解决原理对分频器性能至关重要的,为了满足不同系统功能需求的分频,本课题将阐述不同原理,不同分频器,同种分频不同原理的设计方案。 占空比可控的整数分设计方案,原理为计数器为带预置数的计数器,其设计的特殊之处在于:可以根据需要,调整数据的位宽,而且计数的初始值是从l开始的,此处计数初始值的设定是设计的一个创新,这样做的目的是为了配合后面比较器的工作,计数器的输出数据作为比较器的输入,比较器的另一输入作为控制端,控制高低电平的比例,从而达到占空比可调的目的。原理图如图1所示。 图1 占空比可控的原理图部分 小数分频的基本原理是采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。如设计一个分频系数为lO.1的分频器时。可以将分频器设计成9次10分频,1次11分频这样总的分频值为如式1所示。 F=(9×10+lxl 1)/(9+1)=10.1 (式1) 从这种实现方法的特点可以看出,由于分频器的分频值不断改变.因此分频后得到的信号抖动较大。当分频系数为N-0.5(N为整数)时,可控制扣除脉冲的时间,以使输出成为一个稳定的脉冲频率。而不是一次N分频.一次N-1分频。图2给出了通用小数分频器的电路组成。 图2通用小数分频器的电路组成 改进的小数分频设计方案,将两个整数分频器由一个整数分频器和一个半整数分频器代替,结果在如上分析的两个性能方面都有所提高。利用参数化的设计思想和VHDL描述语言与原理图输入方法相结合的方式,设计并实现了一种抖

VHDL非整数分频器设计实验报告

非整数分频器设计 一、 输入文件 输入时钟CLK: IN STD_LOGIC 二、 设计思路 1. 方法一:分频比交错 (1) 确定K 值 先根据学号S N 确定M 和N :为了保证同学们的学号都不相同,取学号的后四位,即N S =1763 ()mod 1920(mod 17)0 17mod 17 S S S N N if N then M else M N =+=== 由以上公式,得N=(1763 mod 19)+20=35 M=(1763 mod 17)=12 然后根据下式计算分频比K 的值: 8()9N M M K N -+= = =8.34285714 (2) 确定交错规律 使在35分频的一个循环内,进行12次9分频和23次8分频,这样,输出F_OUT 平均为F_IN 的8.34285714分频。为使分频输出信号的占空比尽可能均匀,8分频和9分频应‘交替’进 (3) 设计框图:要求同步时序设计

(4)代码 在实体内定义两个进程(PROCESS P1和PROCESS P2),一个进程控制输出8/9分频,一个进程控制35分频周期比例输出。控制器输出FS_CTL信号控制输出是8分频还是9分频,分频器输出C_ENB信号来控制35分频计数器计数。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY DIV IS--定义实体,实体名DIV PORT(F_IN: IN STD_LOGIC;--输入时钟信号 F_OUT: OUT STD_LOGIC--输出时钟信号 ); END DIV; ARCHITECTURE A OF DIV IS SIGNAL CN1: INTEGER RANGE 0 TO 7;--8分频计数器 SIGNAL CN2: INTEGER RANGE 0 TO 8;--9分频计数器 SIGNAL CN: INTEGER RANGE 0 TO 34;--整体计数器 SIGNAL C_ENB: STD_LOGIC;--整体计数器时钟驱动信号 SIGNAL FS_CTL: STD_LOGIC;--控制8、9分频比例信号,高电平8分频,低电平9分频 BEGIN P1:PROCESS(F_IN)--8、9分频计数进程 BEGIN IF (F_IN'EVENT AND F_IN='1') THEN IF(FS_CTL='0') THEN--9分频 IF CN2=8 THEN--计数 CN2<=0; ELSE CN2<=CN2+1; END IF; IF CN2>4 THEN--控制输出,占空比0.5 F_OUT<='1'; ELSE F_OUT<='0'; END IF; IF CN2=8 THEN--控制整体计数器驱动信号 C_ENB<='1'; ELSE C_ENB<='0'; END IF; ELSE IF CN1=7 THEN--8分频计数,同上 CN1<=0; ELSE CN1<=CN1+1; END IF; IF CN1>3 THEN F_OUT<='1'; ELSE F_OUT<='0'; END IF; IF CN1=7 THEN C_ENB<='1'; ELSE C_ENB<='0'; END IF; END IF; END IF; END PROCESS P1; P2:PROCESS(C_ENB)--整体计数进程 BEGIN IF (C_ENB'EVENT AND C_ENB='1') THEN--由驱动信号驱动 IF CN=34 THEN--计数 CN<=0; ELSE CN<=CN+1; END IF; IF (CN=34 OR CN=2 OR CN=5 OR CN=8 OR CN=11 OR CN=14 OR CN=17 OR CN=20 OR CN=23 OR CN=26 OR CN=29 OR CN=32) THEN FS_CTL<='0'; ELSE FS_CTL<='1'; END IF;--8、9分频比例分配 ELSE CN<=CN; END IF; END PROCESS P2; END A;

音响系统的组成设备的用途与认知

音响系统的组成设备的用途与认知 音响指除了人的语言、音乐之外的其他声响,包括自然环境的声响、动物的声音、机器工具的音响、人的动作发出的各种声音等。 音响系统的组成 音响系统由监听调音台;功放调音台;便携式调音台;功率放大器;动圈话筒;电容话筒;无线话筒;音箱;监听音箱;功放音箱;超低音箱;均衡器;混响器;效果器;延时器;压缩器;限幅器;分音器;噪声门;激光唱机;录音卡座;影碟机;投影机;变调器;点歌器;耳机等众多设备组成。 扬声器有多种分类式:按其换能方式可分为电动式、电磁式、压电式、数字式等多种;按振膜结构可分为单纸盆、复合纸盆、复合号筒、同轴等多种;按振膜开头可分为锥盆式、球顶式、平板式、带式等多种;按重放频可分为高频、中频、低频和全频带扬声器;按磁路形式可分为外磁式、内磁式、双磁路式和屏蔽式等多种;按磁路性质可分为铁氧体磁体、钕硼磁体、铝镍钴磁体扬声器;按振膜材料可分纸质和非纸盆扬声器等。 箱体用来消除扬声器单元的声短路,抑制其声共振,拓宽其频响范围,减少失真。音箱的箱体外形结构有书架式和落地式之分,还有立式和卧式之分。箱体内部结构又有密闭式、倒相式、带通式、空纸盆式、迷宫式、对称驱动式和号筒式等多种形式,使用最多的是密闭式、倒相式和带通式。 分频器有功率分频和电子分频器的区别,两者主要作用都是频带分割、幅频特性与相频特性校正、阻抗补偿与衰减等作用。功率分频器又叫无源式后级分频器,是在功率功放之后进行分频的。它主要由电感、电阻、电容等无源组件组成滤波器网络,把各频段的音频信号分别送到相应频段的扬声器中去重放。它的特点就是成本低,结构简单,适合业余之作,缺点就是插入损耗大,效率低,瞬态特性较差。 功放俗称“扩音机”他的作用就是把来自音源或前级放大器的弱信号放大,推动音箱放声。一套良好的音响系统功放的作用功不可没。功放大体上可分为三大类“专业功放”“民用功放”“特殊功放”。 麦克风的作用就是把声音转接化成音频,在通过音箱播放出来,有录音,把声音放大的作用。话筒的种类:话筒按其结构不同,一般分为动圈式、晶体式、炭粒式、铝带式和电容式等数种,其中最常用的是动圈式话筒和电容式话筒,前者耐用、便宜,后者娇嫩、价格高、但特性优良 凡是对再现声进行种种放大和加工处理的设备均为音响设备。它们有如下的类别: 艺术加工类:包括调音台、混音器等。 音质补偿类:包括均衡器、激励器等。 动态处理类:包括压缩器、限制器、扩展器、噪声门、自动增益控制器等。 声音美化类:包括各种效果机。 扩大还音类:包括功率放大器、音箱、耳机、电子分频器等。音响指除了人的语言、音乐之外的其他声响,包括自然环境的声响、动物的声音、机器工具的音响、人的动作发出的各种声音等。音响大概包括功放、周边设备(包括压限器、均衡器、延时器激励器混响器放大器分配器处理器效果器VCD、DVD等)、扬声器(音箱、喇叭)调音台、麦克风、显示设备等等加起来一套。其中,音箱就是声音输出设备、喇叭、低音炮等等。一个音箱里包括高、低、中三种扬声器,三种但不一定就三个。音源类:包括有线传声器、无线传声器、卡座、电唱机、CD机、VCD/LD/DVD机、录象机、电子乐器等。

分频器的设计

分频器的设计 一、课程设计目的 1.学会使用电路设计与仿真软件工具Hspice,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice内部元件库。通过该实验,掌握Hspice的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2.分频器大多选用市售成品,但市场上出售的分频器良莠不齐,质量上乘者多在百元以上,非普通用户所能接受。价格在几十元以下的分频器质量难以保证,实际使用表现平庸。自制分频器可以较少的投入换取较大的收获。 二.内容 分频器-概述 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。

分频器-作用 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的各滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍,明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 分频器-分类 1)功率分频器:位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷

3分频器的设计

三分频器的设计 时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。 图1:图形设计 VHDL程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen3 is port (clkin : in std_logic; --时钟输入 qout1 : buffer std_logic; qout2 : buffer std_logic; qout3 : buffer std_logic; clkout : out std_logic --占空比为1/2的三分频输出 ); end fen3; architecture behave of fen3 is begin qout3<=qout1 nor qout2; process(clkin) begin if clkin'event and clkin='1' then --在上升沿触发 qout1<=qout3; end if;

end process; process(clkin) begin if clkin'event and clkin='0' then --在下降沿触发 qout2<=qout3; end if; end process; process(qout3) variable tem:std_logic; begin if qout3'event and qout3='1' then --二分频tem:=not tem; end if; clkout<=tem; end process; end behave; 图3:仿真结果

EDA 实验2简单分频时序逻辑电路设计 实验报告

时序电路设计 实验目的: 1.掌握条件语句在简单时序模块设计中的使用。 2.学习在Verilog模块中应用计数器。 实验环境: Windows 7、MAX+PlusⅡ10等。 实验内容: 1.模为60的8421BCD码加法计数器的文本设计及仿真。 2.BCD码—七段数码管显示译码器的文本设计及仿真。 3.用For语句设计和仿真七人投票表决器。 4.1/20分频器的文本设计及仿真。 实验过程: 一、模为60的8421BCD码加法计数器的文本设计及仿真: (1)新建文本:选择菜单File下的New,出现如图5.1所示的对话框,在框中选中“Text Editor file”,按“OK”按钮,即选中了文本编辑方式。 图5.1 新建文本 (2)另存为V erilog编辑文件,命名为“count60.v”如图5.2所示。 (3)在编辑窗口中输入程序,如图5.3所示。

图5.2 另存为.V编辑文件图5.4 设置当前仿真的文本设计 图5.3 模为60的8421BCD码加法计数器的设计代码

(4)设置当前文本:在MAX+PLUS II中,在编译一个项目前,必须确定一个设计文件作为当前项目。按下列步骤确定项目名:在File菜单中选择Project 中的Name选项,将出现Project Name 对话框:在Files 框内,选择当前的设计文件。选择“OK”。如图5.4所示。 (5)打开编译器窗口:在MAX—plusⅡ菜单内选择Compiler 项,即出现如图5.5的编译器窗口。 图5.5 编译器窗口 选择Start即可开始编译,MAX+PLUS II编译器将检查项目是否有错,并对项目进行逻辑综合,然后配置到一个Altera 器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件。 (6)建立波形编辑文件:选择菜单File下的New选项,在出现的New对话框中选择“Waveform Editor File”,单击OK后将出现波形编辑器子窗口。 (7)仿真节点插入:选择菜单Node下的Enter Nodes from SNF选项,出现如图5.6所示的选择信号结点对话框。按右上侧的“List”按钮,在左边的列表框选择需要的信号结点,然后按中间的“=>”按钮,单击“OK”,选中的信号将出现在波形编辑器中。 图5.6 仿真节点插入

分频器作用及分频点的选择基础

分频器作用及分频点的选择基础 中国汽车影音网2005-7-17 【字体:小大】 分频器的作用: 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 显然,分频电路的这些作用已被人们所认识和接受。 分频点的选择: 1 考虑中低单元指向性实用边界频率f=345/d(d=单元振膜有效直径)。通常8”单元的边界频率为2k,6.5”单元的边界频率为2.7k,5”单元为3.4k,4”单元为4.3k。也就是说使用上述单元,其分频点不能大于各单元所对应的实用边界频率。 2 从高音单元谐振频率考虑,分频点应大于三倍的谐振频率。也就是说从高音单元的角度

出发,通常分频点应大于2.5k。 3 考虑中低音单元高端响应Fh,通常分频点不应大于1/2 Fh。实际上,二分频音箱上述条件很难得到同时满足。这时设计者应在这三者中有一个比较好的折中选择。但必须强调的是,第一个条件即实用边界频率应该优先满足。 4 三分频的情况下,通常应将两个分频点隔得愈远(应在三个倍频程以上),组合后的系统响应会变得愈好。否则,将会出现复杂的干扰辐射现象。 5 低音与中音的分频点应考虑人声声像定位的问题。应使人声的重放尽可能由中音单元来承担,以避免人声的声像定位音色发生过大的变化。这一点往往容易被设计者所忽视。通常这一分频点应为200-300Hz。

分频器的设计

首先讲一下单元: 一般情况下,我们对单元按频率会划分为超高音,高音,中高音,中音,重低音,低音,超低音 超高音:負責22kHz以上的頻率 高音:負責5000Hz~22kHz頻率、 中音:負責1500~5000Hz頻率 低音:負責1500Hz以下頻率 超低音(增加)負責200Hz以下頻率 也有网友提出其她的划分标准 以A音(C调的“哆来咪法嗦啦西”的“啦”音,频率为440赫兹)为基准音,以倍频的形式向下三个八度向上五个八度,把全音域分为八个八度,一个个八度就就是音响上常说的一个倍频程(1oct)。具体的划分就是这样的: 55-110赫兹,110-220赫兹,220-440赫兹,440-880赫兹,880-1760赫兹,1760-3520赫兹,3520-7040赫兹,7040-14080赫兹,共八段(八个八度)。这样就很清晰的瞧出频段的划分了。110赫兹以下-超低频; 110-220赫兹-低频; 220-440赫兹-中低频; 440-880赫兹-低中频; 880-1760赫兹-中频; 1760-3520赫兹-中高频; 3520-7040赫兹-高频; 7040赫兹以上-超高频。 还有两种频段划分方法 以“E”音划分 -20 次低频 20-40 极低频 40-80 低频下段 80-160 低频上段 160-320 中频下段 320-640 中频中段

640-1280 中频上段 1280-2560 高频下段 2560-5120 高频中段 5120-10240 高频上段 10240- 极高频 以“C”划分 -63 极低频 63-125 低频下段 125-250 低频上段 250-500 中频下段 500-1K 中频中段 1K-2K 中频上段 2K-4K 高频下段 4K-8K 高频上段 8K- 极高频 分频器的主要元件:电阻,电感,电容 电阻在分频器中的作用:调整灵敏度 电感:其特性就是阻挡较高频率,只让较低的频率通过电容:其特性与电感刚好相反,也就就是阻挡频率通过

EDA数控分频器的设计报告

数控分频器的设计 1、实验目的: 学习数控分频器的设计、分析和测试方法。 2、实验原理: 数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可,详细设计程序如例下文所示。 1) VHDL及语句分析 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DVF IS --定义实体DVF PORT ( CLK : IN STD_LOGIC; --时钟输入 D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); --7位预置数 FOUT : OUT STD_LOGIC ); --输出的频率 END DVF; ARCHITECTURE one OF DVF IS --定义结构体one SIGNAL FULL : STD_LOGIC; --定义信号full BEGIN P_REG: PROCESS(CLK) --进程P_REG中CNT8从预置数D开始 逐步累加到255后,FULL置1;再将 CNT8置为D,循环以获得一个新的周期 脉冲序列FULL,即产生新的频率V ARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLK'EVENT AND CLK = '1' THEN IF CNT8 = "11111111" THEN CNT8 := D; FULL<='1'; ELSE CNT8 := CNT8 + 1; FULL <= '0'; END IF; END IF; END PROCESS P_REG ; P_DIV: PROCESS(FULL) --进程P_DIV中,FOUT是占空比为50%的周期

相关文档
最新文档