EDA复习范围

合集下载

EDA历年重点复习资料

EDA历年重点复习资料

1.1EDA技术是以计算机为工作平台,以相关的EDA开发软件为工具,以大规模可编程逻辑器件包括CPLD、FPGA、EPLD等)为设计载体,以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述的主要表达方式,自动完成系统算法,电路设计,最终形成电子系统或专用集成芯片的一门新技术。

1.2EDA技术研究的对象是电路或系统芯片设计的过程,可分为系统级、电路级和物理级三个层次。

EDA设计领域和内容,包括从低频、高频到微波,从线性到非线性,从模拟到数字,从可编程逻辑器件通用集成电路到专用集成电路的电子自动化设计。

1.3一般认为EDA技术的发展经历了3个阶段:计算机辅助设计(CAD)、计算机辅助工程(CAE)、电子系统设计自动化(EDA)。

1.4名词解释:1)IC是英文Integrated Circuit的缩写,就是集成电路的意思。

是一种微型电子器件或部件。

采用一定的工艺,把实现一定功能的电路,所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构。

2)ASIC(Application Specific ntergrated Circuits):即专用集成电路,是指应特定用户要求和特定电子系统的需要而设计、制造的集成电路。

3)FPGA现场可编程门阵列和CPLD复杂可编程逻辑器件:它是在PAL、GAL、PLD 等可编程器件的基础上进一步发展的产物。

它是作为ASIC领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

是目前较为流行的一种EDA设计技术。

4) IP核:是具有知识产权的集成电路设计技术,是指那些己经过验证的、可重利用的、具有某种确定功能的集成电路设计模块。

分为软IP (soft IP core )、固IP (firm IP core)和硬IP (hard IP core )。

EDA考试重点复习重点

EDA考试重点复习重点

EDA1. FPGA Field-Programmable Gate Array 现场可编程门阵列2 VHDL Very-High-Speed Integrated Circuit Hardware Description Language)甚高速集成电路硬件描述语言3 HDL Hardware Description Language硬件描述语言 5 CPLD Complex Programmable Logic Device复杂可编程逻辑器件6 PLD Programmable Logic Device 可编程逻辑器件7 GAL generic array logic通用阵列逻辑8. LAB Logic Array Block逻辑阵列块9. CLB Configurable Logic Block 可配置逻辑模块10 EABE mbedded Array Block 嵌入式阵列块11,SOPC System-on-a-Programmable-Chip 可编程片上系统12. LUT Look-Up Table 查找表13. JTAG Joint Test Action Group 联合测试行为组织14.IP Intellectual Property 知识产权15ASIC Application Specific Integrated Circuits 专用集成电路16 ISP In System Programmable 在系统可编程17 ICR In Circuit Re-config 在电路可重构18 RTL Register Transfer Level 寄存器传输19EDA E lectronic Design Automation 电子设计自动化1.信号与变量的区别:信号赋值语句在进程外作为并行语句,并发执行,与语句所处的位置无关。

变赋值语句在进程内或子程序内作为顺序语句,按顺序执行,与语句所处的位置有关。

EDA复习要点

EDA复习要点

EDA复习要点
1、深入了解自顶向下设计的概念
VERILOG C
2 、了解PLD结构的“与——或”阵列,能根据要求在“与——或”阵列结构上编程
3、FPGA和CPLD的在结构上的区别,编程下载上的区别
4、端口模式定义(注意赋值上的区别),信号定义(重点是wire和reg)
5、赋值语句assign 进程语句always@()
6、并行和顺序执行
7、块语句beigin….end 和fork….join
8、条件语句case和if 条件语句的不完备性
9、阻塞赋值和非阻塞赋值
10、元件例化
11、进程语句中对同一信号进行多次驱动
12、三态端口和双端口
13、同步加载和复位以及异步加载和复位
14、分频设计(编程)
15、给出状态图,编写有限状态机程序
16、数据类型(整型寄存器型)
资源优化和速度优化
条件编译
18、$display $strobe $monitor
Initial
延时
时钟信号的产生
仿真程序的编写。

EDA基础知识复习要点

EDA基础知识复习要点

EDA基础知识复习要点EDA(探索性数据分析)是指对数据集进行初步的探索,以了解数据的特征、相互关系和隐藏的模式。

它是数据分析的重要环节,可以帮助我们发现数据中的特殊特征、异常值和缺失值,为后续的建模和决策提供基础。

下面是EDA基础知识的复习要点。

1.数据集的基本情况-数据集的大小和维度:了解数据集包含的样本数量和特征数量。

-数据类型和缺失值:检查每个特征的数据类型并确定是否存在缺失值。

-数据的摘要统计信息:计算每个特征的基本统计指标,如均值、中位数、标准差等。

-数据可视化:使用直方图、箱线图、散点图等可视化工具来展示数据的分布和异常值。

2.数据的清洗和预处理-处理缺失值:根据缺失值的情况选择适当的方法填充或删除缺失值。

-处理重复值:检查是否存在重复的样本或特征,并根据需要删除或合并重复值。

-异常值处理:通过设定阈值或使用统计方法来检测和处理异常值。

-标准化和归一化:对于数据集中的数值型特征,可以进行标准化或归一化处理,使其具有相同的尺度。

3.特征工程-特征选择:根据特征的重要性和相关性选择最相关的特征,减少特征的维度。

-特征构建:使用原始特征衍生出新的特征,例如添加多项式特征、交互特征等。

4.数据探索-变量间的关系:分析变量之间的相关性和因果关系,帮助了解特征之间的影响。

-群组分析:将数据集中的样本划分为不同的组群,发现数据的内在结构和模式。

-关键性因素:识别影响特定结果的重要因素,找到数据集中的关键趋势和影响因素。

5.可视化分析-直方图:显示定量变量的分布情况,帮助了解数据的偏态和尾部情况。

-箱线图:显示定量变量的中位数、上下四分位数和异常值,有助于观察数据的离散情况。

-散点图:显示两个变量之间的关系,帮助检测变量之间的线性关系或异常值。

-折线图:显示变量随时间变化的趋势,用于分析时间序列数据。

6.结果解释和报告-对EDA结果进行总结和解释,包括数据集的特点、重要特征、异常值等。

-以清晰和可视化的方式呈现结果,如使用图表、表格等形式。

EDA技术复习资料(完全版)

EDA技术复习资料(完全版)

EDA技术复习资料一、填空1、EDA设计流程包括设计准备、设计输入、设计处理、和器件编程序四个步骤。

2、EDA的设计验证包括功能仿真、时序仿真和器件测试三个过程。

3、EDA的设计输入主要包括文本输入方式、图形输入方式、和波形输入方式。

4、文本输入是指采用硬件描述语言进行电路设计的方式。

5、功能仿真实在设计输入完成以后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为前仿真。

6、时序仿真实在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又被称为后仿真或延时仿真。

7、当前最流行的并成为IEEE标准的硬件描述语言包括VHDL、和VERILOG HDL。

8、EDA工具大致分为设计输入编辑器、仿真器、HDL综合器、适配器(或布局布线器)、以及下载器等五个模块。

9、IEEE于1987年将VHDL采纳为IEEE#1076标准。

10、用VHDL语言书写的源文件。

即是程序又是文档,即是工程技术人员之间交换信息的文件,又可作为合同签约者之间的文件。

11、用VHDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能快独立存在和独立运行。

12、VDHL设计实体的基本结构由库、程序包、实体、结构体和配臵等部分构成。

13、实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。

14、根据VHDL语法规则,在程序中使用的文字、数据对象、数据类型都需要预先定义。

15、VHDL的实体由实体声明部分和结构体组成。

16、VHDL的实体声明部分制订了设计单元的输入输出端口或引脚,它是设计实体对外的一个通信界面,是外界可以看到的部分。

17、VDHL的结构体用来描述设计实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。

18、在VHDL的端口声明语句中,端口方向包括IN、OUT、INOUT和BUFFER。

19、VHDL的数据型文字包括整数文字、实数文字、以数制基数表示的文字和物理量文字。

EDA期末复习资料大全

EDA期末复习资料大全

一1.一般把EDA技术的发展分为MOS时代、MOS时代和ASIC三个阶段。

2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。

3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。

4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。

5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。

6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。

7.以EDA方式设计实现的电路设计文件,最终可以编程下到FPGA 和CPLD 芯片中,完成硬件设计和验证。

8.MAX+PLUS的文本文件类型是(后缀名).VHD。

9.在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录。

10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。

二、1、PLD:可编程逻辑器件CPLD:复杂可编程逻辑器件2、HDL:硬件描述语言VHDL:甚高速集成电路硬件描述语言3、LUT:查找表(Look Up table)4、ASIC:专用集成电路5、SOC:片上系统6、IP CORE:知识产权核7、FPGA:现场可编程门阵列8、JTAG:联合测试行动组9、EAB:嵌入式阵列快10、LE(LC):逻辑单元11、SOPC:可编程片上系统12、EDA:电子设计自动化13、FSM:有限状态机14、BST:边界扫描测试15、M4K:Altera公司Cyclone系列FPGA中的嵌入式存储器模块16、RTL:寄存器传输级17、MV:混合电压18、PLD:可编程逻辑器件19、std_logic_vector:一种数组型数据类型,其中每位数据均为std_logic型。

20、one-hot:一种有限状态机的编码形式。

状态机的每个状态都用一个触发器来表示,即在每个状态只有对应触发器置“1”,其他触发器均置“0”。

EDA复习知识点

EDA复习知识点
考试
内容:前六章
考试形式:闭卷考试
“考核方法:平时成绩20%;实验课操
作 40%;最后考试 40%
1
1
复习要点
基础知识:理解EDA基本概念,常见的可编 程逻辑器件的工作原理; Verilog语法:掌握模块、运算符、条件语句、 循环语句、块语句的使用和设计方法,理解 阻塞和非阻塞赋值区别;
for语句
for语句的使用格式如下:
for(循环变量赋初值;循环结束条件;循环变量增 值) 执行语句;(或begin_end块语句)
repeat语句
repeat语句的使用格式为:
repeat(循环次数表达式) 语句; 或 repeat(循环次数表达式) begin …… end

填空题
Eg1. 现有的两种主要的硬件描述语言是 Eg2.定义一个名字为Q1的8位reg总线。 逻辑功能。
A B C D E


Eg3. 将题中的连续赋值语句补充完整以实现对应电路的
F
assign F= E
( ((A B)
(C D) ) )
20 20

填空题
Eg4.请在下面的空格中填入适当的符号使其描述右图模块 module Block1(a,
PLD器件的分类--按结构特点
基于乘积项结构的器件--阵列型
PROM,EEPROM,PAL,GAL,
CPLD
基于查找表结构的器件--单元型
FPGA
PROM PLA PAL
固定的“与”+可编程的“或” 可编程的“与”+可编程的“或” 可编程的“与”+固定的“或”
CPLD
基于乘积项可编程逻辑结构
HDL综合

EDA复习重点

EDA复习重点

考试题型及重点:一、选择题二、填空题三、综合题1.图形输入法:1)输入电路;2)选择目标芯片;3)引脚锁定;4)建立波形仿真文件,编辑输入信号的波形,进行仿真2.用VHDL设计组合电路:常用组合电路:编码器,译码器,加法器,比较器,数据选择器3.用图形输入和VHDL混合设计方法设计电路4.使用LPM(可调参数单元)1)LPM_COUNTER的参数设置2)LPM_ROM的参数设置,存储器初始化文件的建立。

一、原理图输入设计法。

1、建立图形输入文件,命名为exam1.bdf,输入如下电路,并进行编译。

2、建立波形仿真文件,命名为exam1.vwf,编辑各输入信号的波形,并进行时序仿真。

3、选择MAX7000S系列中的EPM7128SLC84-15作为目标器件,并进行引脚锁定,把该设计的CLK、CLEAR、Q2、Q1和Q0信号分别相应锁定到目标芯片的第83、1、79、80和81引脚。

二、用VHDL语言实现下面的电路。

三、利用VHDL语言设计法,建立文本文件,命名为exam3.vhd,设计一个3线-8线译码器,要求有A2~A0代码输入端,Y7~Y0为输出端,并进行编译。

四、VHDL与原理图混合设计。

1、编译源文件adder2.vhd,并为其创建元件符号。

adder2为两位的加法器,其中a,b为两个加数输入端,cin为进位输入端,s为和输出,cout为进位输出。

LIBRARY IEEE;USE IEEE.std_logic_1164.all;USE IEEE.std_logic_unsigned.all;Entity adder2 isport (cin : in std_logic;a,b : in std_logic_vector(1 downto 0);s: out std_logic_vector(1 downto 0);cout : out std_logic);end adder2;architecture adder2_body of adder2 ISsignal sint : std_logic_vector(2 downto 0);signal aa,bb: std_logic_vector(2 downto 0);beginaa<='0'&a;bb<='0'&b;sint<=aa+bb+cin;s<=sint(1 downto 0);cout<=sint(2);end adder2_body;2、建立图形输入文件,命名为exam4.bdf,利用1中创建的元件符号设计一个4位的加法器。

EDA 的复习提纲

EDA 的复习提纲

实体:
ENTITY e_name IS PORT ( p_name : port_m data_type; ... p_namei : port_mi data_type ); END ENTITY e_name; 或: ENTITY e_name IS PORT ( p_name : port_m data_type; ... p_namei : port_mi data_type ); END e_name;
output Q
1010
st0
0101
st1
1001
st20010s源自3101101
00
input DATAIN
《可编程逻辑器件》复习
名词术语解释(约20%) 第1章 概述 第2章 FPGA/CPLD结构与应用 EDA,ASIC,FPGA,IP,SRAM,CPLD HDL,SOPC,PROM,LUT,VHDL……



回答问题(约10%) 第5章 VHDL设计进阶 5.1 数据对象(信号与变量的异同点) 第7章 有限状态机的设计 7.1 一般有限状态机的设计 状态机的必要性及组成,各个部分的作用 程序改错(约20%) (1)程序简单改错 (2)例5-6:四选一多路选择器的实现
本门课程的主要应用方向: (1)芯片设计:利用FPGA/CPLD设计ASIC (2)产生高速控制信号: 控制高速A/D,控制高速DSP (3)实现高速数据的传输和缓存: 总线和缓冲区 未来发展方向: 实现所有数字系统的集成
Design a state machine according to the following state diagram
例:
说明部分: SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0) ;

《EDA技术及应用》考试复习要点

《EDA技术及应用》考试复习要点

《EDA技术及应用》考试复习要点一.名词解释(5个小题,15分):包括1.1,1.3,1.6,3.2~3.8,4.2,5.1~5.4等章节的基本概念,基础知识.二.填空题(10空,共20分):包括1.1,1.3,1.6,3.2~3.8,4.2,5.1~5.4等章节的基本概念,基础知识.三.选择题(5个小题,共15分):包括1.1,1.3,1.6,3.2~3.8,4.2,5.1~5.4等章节的基本概念,基础知识.四.程序阅读_功能修改题(1个小题,10分)五.VHDL程序设计题(2个小题,共40分)包括3.9,3.10,6.3,6,5,6.6等章节,主要考试的程序设计类型有:触发器和锁存器;计数器(至少掌握3种方法,参见教材P283,P187-189);分频器(至少掌握2种方法,参见教材P287,327);数据动态扫描显示电路(包括计数,选择,译码等基本电路,主要是实验里每次都要用);数据寄存器(数码寄存器和移位寄存器)(参见教材185,186);序列信号发生器和检测器(参见教材P189,P191);读写存储器SRAM(参见教材P193-195)。

欧伟明2011年4月28日附:数据动态扫描显示电路的一个VHDL参考程序。

【例1】用VHDL设计一个8bits并行半加器,要求将被加数、加数和运算结果用动态扫描的方式,在7个共阴LED数码管上同时显示出来,其对应的数据动态扫描显示电路外围器件接线如图1所示,并使用MAX+plus II进行仿真。

图1 数据动态扫描显示电路外围器件接线图--DISPLAY.VHDLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;--实体说明ENTITY DISPLAY ISPORT(CLK:IN STD_LOGIC; --动态扫描显示时钟,24HZ以上AIN: IN STD_LOGIC_VECTOR(7 DOWNTO 0); --8位被加数BIN: IN STD_LOGIC_VECTOR(7 DOWNTO 0); --8位加数SUM0,SUM1,SUM2:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);--仿真观测输出COM:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);--数码管COM端的选择输出端SEG: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); --数码管8段显示驱动输出端END ENTITY DISPLAY;ARCHITECTURE ART OF DISPLAY ISSIGNAL AA, BB,SINT: STD_LOGIC_VECTOR(8 DOWNTO 0);SIGNAL CNT:STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL BCD:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN--进行运算前的准备及加法运算AA<='0'&AIN;BB<='0'&BIN;SINT<=AA+BB;SUM0<=SINT(3 DOWNTO 0); --运算结果的仿真观测输出SUM1<=SINT(7 DOWNTO 4); --运算结果的仿真观测输出SUM2<="000"&SINT(8); --运算结果的仿真观测输出--产生动态扫描显示的控制信号PROCESS(CLK)BEGINIF CLK'EVENT AND CLK='1' THENIF CNT="111" THENCNT<="000";ELSECNT<=CNT+'1';END IF ;END IF;END PROCESS;PROCESS(CNT)BEGIN--显示数据的选择,对应显示数码管公共端的选通,低电平有效 CASE CNT ISWHEN "000" =>BCD<=AIN(3 DOWNTO 0);COM<="1111110"; WHEN "001" =>BCD<=AIN(7 DOWNTO 4);COM<="1111101"; WHEN "010" =>BCD<=BIN(3 DOWNTO 0);COM<="1111011"; WHEN "011" =>BCD<=BIN(7 DOWNTO 4);COM<="1110111"; WHEN "100" =>BCD<=SINT(3 DOWNTO 0);COM<="1101111"; WHEN "101" =>BCD<=SINT(7 DOWNTO 4);COM<="1011111"; WHEN "110" =>BCD<="000"&SINT(8);COM<="0111111";WHEN OTHERS=>BCD<="0000";COM<="1111111";END CASE;--将BCD码转换成数码管的8段驱动信息,高电平有效CASE BCD ISWHEN "0000" => SEG<="00111111";WHEN "0001" => SEG<="00000110";WHEN "0010" => SEG<="01011011";WHEN "0011" => SEG<="01001111";WHEN "0100" => SEG<="01100110";WHEN "0101" => SEG<="01101101";WHEN "0110" => SEG<="01111101";WHEN "0111" => SEG<="00000111";WHEN "1000" => SEG<="01111111";WHEN "1001" => SEG<="01101111";WHEN "1010" => SEG<="01110111";WHEN "1011" => SEG<="01111100";WHEN "1100" => SEG<="00111001";WHEN "1101" => SEG<="01011110";WHEN "1110" => SEG<="01111001";WHEN "1111" => SEG<="01110001";WHEN OTHERS => SEG<="00000000";END CASE ;END PROCESS;END ARCHITECTURE ART;图1.33 数据动态扫描显示程序仿真图。

EDA复习

EDA复习

1.集成电路设计包括电路设计和版图设计两方面2.现代电子设计技术的核心是EDA (Electronic Design Automation )技术。

3.EDA 技术的发展分为CAD 、CAE 和EDA 三个阶段。

4.CAD (Computer Aided Design )是EDA 技术发展的早期阶段; CAE (Computer Aided Engineering )计算机辅助工程,CAE 是指工程设计中的计算机辅助分析计算与辅助分析仿真。

EDA 技术已经成为电子设计的重要工具5.6.设计输入形式:文本方式设计输入方式 图形设计输入方式文本、图形两者混合的设计输入方式。

7.设计处理主要包括设计编译和检查、逻辑优化和综合、适配和分割、布局和布线、生成编程数据文件等过程。

设计校验过程包括功能仿真和时序仿真;8.硬件描述语言HDL 是EDA 技术中的重要组成部分,常用的硬件描述语言有AHDL 、VHDL 和Verilog HDL ,而VHDL 和V erilog HDL 是当前最流行的并成为IEEE 标准的硬件描述语言。

9.AHDL (LAltera Hardware Description Language )是Altera 公司10. 可编程逻辑器件PLD (Programmable Logic Device )是一种半定制集成电路,在其内部集成了大量的门和触发器等基本逻辑电路11.*“积木” 式:对电路板进行设计,通过标准集成电路器件搭建成电路板来实现系统功能,即先由器件搭成电路板,再由电路板搭成系统。

数字系统的“积木块”就是具有固定功能的标准集成电路器件。

设计中,设计者没有灵活性可言,搭成的系统需要的芯片种类多且数目大。

12.*“自底向上”(Bottom-Up ):它可以直接通过设计PLD 芯片来实现数字系统功能,将原来由电路板设计完成的大部分工作放在PLD 芯片的设计中进行。

根据实际情况和要求定义器件的内部逻辑关系和管脚,这样可通过芯片设计实现多种数字系统功能;减少芯片数量,缩小系统体积,降低能源消耗,提高系统的稳定性和可靠性13.“自顶向下”(T op-Down ):首先从整体上规划整个系统的功能和性能, 然后对系统进行划分, 分解为规模较小、 功能较为简单的局部模块, 并确立它们之间的相互关系, 这种划分过程可以不断地进行下去, 直到划分得到的单元可以映射到物理实现。

EDA技术复习大纲(1).docx

EDA技术复习大纲(1).docx

EDA技术复习大纲1.题型:填空,判断改错,编程题编程题、问答题,共100分。

2.EDA、FPGA及quartus软件基础知识:如quartus相关文件的后缀名;quartus软件使用常用命令,如管脚分配,编译,编程下载等3.复习计数器、分频电路的设计、7段译码器设计、数字时钟、数字秒表的设计。

4.编程题实体部分已经写好。

EDA复习基础知识要点1.EDA的概念EDA (电了设计自动化)是现代电了设计技术的核心。

EDA就是依靠功能强大的电了计算机, 在EDA .T具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,白动的完成逻辑编译、化简、分割、综合优化仿真,直至下载到可编程逻辑器件CPLD/FPGA 或专用集成电路ASIC芯片中,实现既定的电子线路的功能。

2.EDA的发展阶段CAD是EDA技术发展的早期阶段,此阶段仅仅使用计算机进行辅助绘图工作。

CAE是在CAD的工貝逐步完善的基础上发展起來的,它开始用计算机将许多单点工具集成在一起使用。

20卅纪90年代电了技术的飞速发展促使现在的EDA技术的形成。

出现了EDA设计的概念, 并发展至今天。

3.EDA设计流程①设计准备②设计输入③设计处理④设计校验⑤器件编程⑥器件验证4.设计输入的三种方式①原理图方式②文本输入方式③波形输入方式5.设计处理的步骤①设计编译和检杳(信号线有无漏接,信号有无双重来源,关键词有无错课)②优化设计和综合③适配和分割④布局和布线⑤牛成编程数据文件6.常用对应的后缀名①原理图文件.bdf②VHDL语言文件.vhd③Verilog HDL 文件.v④仿真波形文件.vwf7.可编程逻辑器件的分类①按集成密度分类可编程逻辑器件从集成密度上可分为低密度可编程逻辑器件LDPLD和高密度可编程逻辑器件HDPLD两类。

LDPLD通常是指早期发展起来的、集成密度小于100() I' J//f左右的PLD如ROM、PLA、PAL 和GAL等。

EDA复习

EDA复习
EDA技术 复习
一、基础知识
1、EDA基本概念,主要组成部分 以硬件描述语言为主要的表达方式,以EDA软件为开发软件,以大规模可 编程器件为设计载体的电子产品设计过程。 2、数据类型 integer,bit,bit_vector, std_logic std_logic_vector, signed, unsigned 3、库,程序包 ieee库 程序包:std_logic_1164程序包 std_logic_arith程序包 std_logic_unsigned程序包 std_logic_signed程序包 4、数据对象 常量:constant 信号:signal 变量:variable 5、运算符
器件清单: 1、加法器,减法器(一位和多位) 2、有符号数和无符号数比较器 3、数据选择器 4、三态缓冲电路 5、二进制_格雷码转换器 6、不同进制的计数器 7、d触发器 8、不同占空比的分频器 9、“1”或“0”计数器 10、密度编码器 11、编码器和优先级编码器 12、led译码器 13、信号发生器(状态机和顺序语句) 14、奇偶校验器,奇偶校验发生器 15、stop_watch电路 16、序列检测器 17、空调控制器 18、通用器件的设计(通用奇偶校验发生器,通用分频器等),类属参数的映射
方法一: library ieee; use ieee.std_logic_1164.all; entity mdbmq is
3、密度编码器 for i in 7 downto 1 loop
if din(i)='1' then
n:=n+1;
end if; end loop; End process; m<=n; process(m) begin case m is when 0=>dout<="00000001"; when 1 =>dout<="00000010"; when 2 =>dout<="00000100"; when 3=>dout<="00001000"; when 4=>dout<="00010000"; when 5=>dout<="00100000"; when 6=>dout<="01000000"; when 7=>dout<="10000000"; when others=>null; end case; end process; end;

EDA复习资料大全

EDA复习资料大全

1.一般把EDA技术的发展分为MOS时代、MOS时代和ASIC三个阶段。

2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。

3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。

4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。

5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。

6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。

7.以EDA方式设计实现的电路设计文件,最终可以编程下到FPGA和CPLD芯片中,完成硬件设计和验证。

8.MAX+PLUS的文本文件类型是(后缀名).VHD。

9.在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录。

10.VHDL源程序的文件名应与实体名相同,否则无法通过编译13、VHDL的PROCESS(进程)语句是由顺序语句组成的,但其本身却是并行语句。

14、VHDL的子程序有过程(PROCEDURE) 和函数(FUNCTION) 两种类型,具有可重载性特点。

15、图形文件的扩展名是 .bdf ;矢量波形文件的扩展名是 .vwf ;使用VHDL语言,文本设计文件的扩展名是 .vhd ;自建元件图形符号文件的扩展名.bsf;资源分配说明文件扩展名.qsf,用文本打开它可以修改引脚编号;逻辑综合会生成.edf文件;双击.qpf文件可启动QuartusII并打开已有工程。

16、图形编辑中模块间的连线有三种形式:节点线、总线和管道线17、Quartus编译器编译FPGA工程最终生产两种不同用途的文件,它们分别是.sof 和.pof。

sof是SRAM Object File,下载到FPGA中,断电丢失。

pof是Programmer Object File,下载到配置芯片中,上电重新配置FPGA。

18、FPGA过程中的仿真有三种:行为仿真、逻辑仿真、时序仿真。

EDA技术复习资料(完全版)

EDA技术复习资料(完全版)

EDA技术复习资料一、填空1、EDA设计流程包括设计准备、设计输入、设计处理、和器件编程序四个步骤。

2、EDA的设计验证包括功能仿真、时序仿真和器件测试三个过程。

3、EDA的设计输入主要包括文本输入方式、图形输入方式、和波形输入方式。

4、文本输入是指采用硬件描述语言进行电路设计的方式。

5、功能仿真实在设计输入完成以后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为前仿真。

6、时序仿真实在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又被称为后仿真或延时仿真。

7、当前最流行的并成为IEEE标准的硬件描述语言包括VHDL、和VERILOG HDL。

8、EDA工具大致分为设计输入编辑器、仿真器、HDL综合器、适配器(或布局布线器)、以及下载器等五个模块。

9、IEEE于1987年将VHDL采纳为IEEE#1076标准。

10、用VHDL语言书写的源文件。

即是程序又是文档,即是工程技术人员之间交换信息的文件,又可作为合同签约者之间的文件。

11、用VHDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能快独立存在和独立运行。

12、VDHL设计实体的基本结构由库、程序包、实体、结构体和配臵等部分构成。

13、实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。

14、根据VHDL语法规则,在程序中使用的文字、数据对象、数据类型都需要预先定义。

15、VHDL的实体由实体声明部分和结构体组成。

16、VHDL的实体声明部分制订了设计单元的输入输出端口或引脚,它是设计实体对外的一个通信界面,是外界可以看到的部分。

17、VDHL的结构体用来描述设计实体的逻辑结构和逻辑功能,它由VHDL语句构成,是外界看不到的部分。

18、在VHDL的端口声明语句中,端口方向包括IN、OUT、INOUT和BUFFER。

19、VHDL的数据型文字包括整数文字、实数文字、以数制基数表示的文字和物理量文字。

EDA技术复习大纲

EDA技术复习大纲

EDA技术复习大纲1.题型:填空,判断改错,编程题编程题、问答题,共100分。

EDA、FPGA及quartus软件基础知识:如quartus相关文件的后缀名;quartus软件使用常用命令,如管脚分配,编译,编程下载等3.复习计数器、分频电路的设计、7段译码器设计、数字时钟、数字秒表的设计。

4.编程题实体部分已经写好。

EDA复习基础知识要点1.EDA的概念EDA<电子设计自动化)是现代电子设计技术的核心。

EDA就是依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动的完成逻辑编译、化简、分割、综合优化仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC芯片中,实现既定的电子线路的功能。

2.EDA的发展阶段CAD是EDA技术发展的早期阶段,此阶段仅仅使用计算机进行辅助绘图工作。

CAE是在CAD的工具逐步完善的基础上发展起来的,它开始用计算机将许多单点工具集成在一起使用。

20世纪90年代电子技术的飞速发展促使现在的EDA技术的形成。

出现了EDA设计的概念,并发展至今天。

3.EDA设计流程①设计准备②设计输入③设计处理④设计校验⑤器件编程⑥器件验证4.设计输入的三种方式①原理图方式②文本输入方式③波形输入方式5.设计处理的步骤①设计编译和检查<信号线有无漏接,信号有无双重来源,关键词有无错误)②优化设计和综合③适配和分割④布局和布线⑤生成编程数据文件6.常用对应的后缀名①原理图文件 .bdf②VHDL语言文件 .vhd③Verilog HDL文件 .v④仿真波形文件 .vwf7.可编程逻辑器件的分类①按集成密度分类可编程逻辑器件从集成密度上可分为低密度可编程逻辑器件LDPLD和高密度可编程逻辑器件HDPLD两类。

LDPLD 通常是指早期发展起来的、集成密度小于1000门/片左右的PLD如ROM、PLA、PAL和GAL等。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

答题前,在F:盘新建一个文件夹,以通信技术X班+姓名的形式命名。

答题完成后按监考教师的要求,将该文件夹保存到指定的位置。

一、multisim
1、在F盘新建的文件夹下新建电路文件,用multisim绘制如图所示的电路,并显示节点。

2、用示波器观察放大电路的波形,调节仪器面板的参数设置,观察到最佳波形。

3、记录示波器中输入信号、输出信号的峰值,计算电路的放大倍数。

4、对三极管V2进行直流工作点分析,并记录直流工作点的值。

5、将结果保存到在F:盘新建的文件夹中。

二、Protel 电路设计
6、新建项目文件:在F:盘以个人名字命名的文件夹内新建一项目文件:单管放大. prj PCB。

在该项目文
件下新建原理图文件:单管放大.SCHDOC和PCB文件:单管放大.PCBDOC.
7、在原理图编辑界面画出原理图,并设置电阻封装为AXIAL-04 , 电容封装为RAD-0.3 , 三极管封装为SO-G3 , 电压源V1封装为PIN2.
7、通过“更新PCB”方式由原理图产生PCB文件。

8、对元件进行手工布局调整,并将电路板调整为2400mil*2000mil。

9、设置自动布线参数。

布线间距:20mil;布线层:顶层不使用,底层布线方向任意;布线宽度20mil。

10、利用自动布线绘出PCB 板,并手工调整不合理的连线。

一、multiSIM电路分析
1、在F盘新建的文件夹下新建电路文件,使用multiSIM2001 绘出原理图。

(要求元件标注正确,连线清晰准确)
2、设置显示栅格,显示节点标号
3、在三极管基极与集电极各连接一电压表,分别测出基极电压V,集电极电压V。

4、使用直流工作点分析方法(DC Operation Point Analysis)测出三极管Q1的基极电压V,集电极电压V,发射极电压V。

5、使用瞬态分析方法(Transient Analysis)进行分析。

参数设置如下:。

(1)分析的初始条件选择Calculate DC operating point.
(2)Start time 为0.
(3)End Time 为5e-05.
(4)输出节点为三极管的集电极.
二、Protel 电路设计
6、在F:盘以个人名字命名的文件夹内新建一项目文件:单管放大. prj PCB。

在该项目文件下新建原理图
文件:单管放大.SCHDOC和PCB文件:单管放大.PCBDOC.
7、在原理图编辑界面画出如下原理图,并设置电阻封装为AXIAL-04 , 电容封装为RB7.6-15 , 三极管封装为SO-G3 , 电压源V1封装为PIN2.
8、通过“更新PCB”方式装载网络表将元件封装载入单管放大.PCBDOC文件中。

9、对元器件进行手工布局调整,并将电路板调整为2800mil*2600mil。

10、设置自动布线参数。

布线间距:20mil;布线层:顶层不使用,底层布线方向任意;布线宽度20mil。

11、利用自动布线绘出PCB 板,并手工调整不合理的连线。

1。

相关文档
最新文档