重庆大学期末EDA复习纲要(优.选)
eda期末考试复习题
eda期末考试复习题EDA期末考试复习题一、选择题(每题2分,共20分)1. EDA(Electronic Design Automation)是指:A. 电子设计自动化B. 电子数据交换C. 电子文档自动化D. 电子设备自动化2. 在EDA工具中,用于设计和仿真数字逻辑电路的软件是:A. MATLABB. VHDLC. SPICED. AutoCAD3. 下列哪个不是硬件描述语言(HDL)?A. VerilogB. VHDLC. C++D. SystemVerilog4. FPGA(Field-Programmable Gate Array)是一种:A. 可编程逻辑器件B. 微处理器C. 存储器D. 网络设备5. 在VHDL中,以下哪个关键字用于定义实体?A. entityB. architectureC. processD. package6. 以下哪个是模拟电路设计中常用的EDA工具?A. Quartus IIB. ModelSimC. CadenceD. Xilinx ISE7. 以下哪个不是数字电路设计中的基本逻辑门?A. ANDB. ORC. NOTD. XOR8. 在VHDL中,以下哪个是并行赋值语句?A. ifB. caseC. loopD. when9. 在EDA设计流程中,仿真验证的目的是:A. 检查电路是否能正常工作B. 检查电路的物理尺寸C. 检查电路的功耗D. 检查电路的散热性能10. 以下哪个不是EDA设计流程中的步骤?A. 电路设计B. 仿真验证C. 版图设计D. 电路测试二、填空题(每空2分,共20分)11. 在VHDL中,用于定义端口的关键字是________。
12. FPGA的编程方式包括________和________。
13. 一个完整的EDA设计流程通常包括________、________、________、________和________。
14. 在数字电路设计中,________是一种用于描述电路行为的建模方式。
eda技术实用教程期末考试题及答案
eda技术实用教程期末考试题及答案一、选择题(每题2分,共20分)1. EDA技术中,FPGA代表的是()。
A. 现场可编程逻辑阵列B. 现场可编程门阵列C. 现场可编程逻辑器件D. 现场可编程门器件答案:B2. 在EDA技术中,VHDL是一种()。
A. 硬件描述语言B. 软件描述语言C. 系统描述语言D. 网络描述语言答案:A3. 下列哪个不是EDA工具的主要功能()。
A. 逻辑综合B. 电路仿真C. 代码编译D. 布局布线答案:C4. 在VHDL中,下列哪个关键字用于定义并行语句()。
A. ifB. beginC. loopD. process答案:B5. 在EDA技术中,用于测试和验证数字电路的EDA工具是()。
A. 逻辑综合工具B. 电路仿真工具C. 布局布线工具D. 测试生成工具答案:B6. 下列哪个不是FPGA的配置方式()。
A. 在系统可编程B. 串行配置C. 并行配置D. 网络配置答案:D7. 在VHDL中,用于定义信号的关键字是()。
B. constantC. signalD. type答案:C8. 在EDA技术中,用于描述数字电路行为的模型是()。
A. 结构模型B. 数据流模型C. 行为模型D. 混合模型答案:C9. 在VHDL中,下列哪个关键字用于定义过程()。
A. procedureB. functionD. entity答案:C10. 在EDA技术中,用于优化电路性能的EDA工具是()。
A. 逻辑综合工具B. 电路仿真工具C. 布局布线工具D. 测试生成工具答案:C二、填空题(每题2分,共20分)1. EDA技术中的“EDA”代表的是______、______和______。
答案:电子设计自动化2. VHDL中的并发语句包括______、______、______和______。
答案:信号赋值、条件信号赋值、选择信号赋值、元件实例化3. 在FPGA设计中,______是用于存储配置数据的非易失性存储器。
自考eda复习资料
自考eda复习资料自考EDA(电子设计自动化)是一门涉及电子工程领域的重要学科,其复习资料对于考生来说至关重要。
本文将从自考EDA复习资料的选择、使用方法和效果等方面进行探讨,希望对正在备考自考EDA的考生有所帮助。
一、复习资料的选择在备考自考EDA时,选择合适的复习资料是非常重要的。
首先,我们可以从书籍方面入手。
自考EDA领域的经典教材和参考书籍有很多,如《EDA技术与应用》、《电子设计自动化基础》等,考生可以根据自己的实际情况选择适合自己的教材。
此外,还可以参考一些综合性的电子工程教材,如《电子技术基础》、《电路分析基础》等,这些教材能够帮助考生更好地理解和掌握EDA相关知识。
其次,互联网上也有很多自考EDA的学习资源,如视频教程、在线课程等。
考生可以通过搜索引擎找到这些资源,并结合自己的学习习惯选择适合自己的学习方式。
这些资源通常具有生动形象的讲解和实例演示,能够帮助考生更好地理解和记忆EDA相关知识。
二、复习资料的使用方法选择了适合自己的复习资料后,考生还需要合理地使用这些资料。
首先,要注意分配好复习时间,合理安排每天的学习计划。
可以根据自己的实际情况,制定一个详细的学习计划,明确每天要学习的内容和时间安排,以保证学习的连贯性和高效性。
其次,要注重理论与实践相结合。
EDA是一门实践性很强的学科,理论知识的学习需要与实际操作相结合。
考生可以通过仿真软件、实验平台等工具进行实践操作,巩固和加深对理论知识的理解。
最后,要善于总结和归纳。
在学习过程中,考生可以将重要的知识点、公式、方法等进行总结和归纳,形成自己的复习笔记。
这样不仅有助于记忆,还可以方便之后的复习和回顾。
三、复习资料的效果选择合适的复习资料并合理使用后,自考EDA复习的效果将会更好。
首先,通过系统地学习和复习,考生能够全面地掌握EDA相关的理论知识和实践技能,为考试做好充分的准备。
其次,复习资料的使用还能够提高考生的解题能力和应试技巧。
EDA期末考试试卷及答案
一、单项选择题(30 分,每题 2 分)1.以下对于适配描绘错误的选项是 BA.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最后的下载文件B.适配所选定的目标器件能够不属于原综合器指定的目标器件系列C.适配达成后能够利用适配所产生的仿真文件作精准的时序仿真D .往常, EDAL 软件中的综合器可由专业的第三方EDA 企业提供,而适配器则需由FPGA/CPLD 供给商供给2 .VHDL 语言是一种构造化设计语言;一个设计实体(电路模块)包括实体与构造体两部分,构造体描绘 D 。
A .器件外面特征B .器件的综合拘束C.器件外面特征与内部功能 D .器件的内部功能3 .以下表记符中, B 是不合法的表记符。
A .State0 B.9moon C. Not_Ack_0 D. signall4 .以下工具中属于 FPGA/CPLD 集成化开发工具的是 DA .ModelSimB .Synplify ProC. MATLAB D . QuartusII5.进度中的变量赋值语句,其变量更新是A。
A .立刻达成B .按次序达成C.在进度的最后达成 D .都不对6.以下对于CASE语句描绘中错误的选项是 AA .CASE 语句履行中能够不用选中所列条件名的一条B .除非全部条件句的选择值能完好覆盖CASE 语句中表达式的取值,不然最末一个条件句的选择一定加上最后一句“WHENOTHERS=>< 次序语句 > ”C. CASE 语句中的选择值只好出现一次D . WHEN 条件句中的选择值或表记符所代表的值一定在表达式的精选文档取值范围7.以下哪个程序包是数字系统设计中最重要最常用的程序包 BA . STD_LOGIC_ARITHB. STD_LOGIC_1164C.STD_LOGIC_UNSIGNEDD.STD_LOGIC_SIGNED8.鉴于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→ A→综合→适配→时序仿真→编程下载→硬件测试。
EDA技术期末考试复习资料
EDA技术期末考试复习资料EDA技术期末考试复习资料什么是EDA?本意:Electronic Design Automation在教材中“EDA”是指依赖于功能强⼤的计算机,在EDA⼯具软件平台上,对以硬件描叙语⾔HDL为系统逻辑描述⼿段完成的设计⽂件,⾃动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直⾄实现既定的电⼦线路系统功能。
EDA技术的实现⽬标:完成专⽤集成电路ASIC的设计和实现(这种说法太⽚⾯)实现ASIC的三种途径:可编程逻辑器件CPLD、FPGA 半定制或全定制ASIC、混合ASIC 常⽤硬件描述语⾔(HDL):VHDL Verilog HDL System Verilog System CVerilogHDL与VHDL的⽐较:VHDL来源于古⽼的Ada语⾔,VerilogHDL来源于C语⾔,VerilogHDL受到⼀线⼯作的⼯程师的青睐。
90%以上的公司采⽤verilogHDL进⾏IC设计,ASIC设计必须学习VerilogHDL,VerilogHDL 在⼯业界通⽤些,VHDL在⼤学教学中使⽤较多VerilogHDL在系统级抽象⽅⾯⽐VHDL差⼀些,在门级开关电路描叙⽅⾯VerilogHDL⽐VHDL强很多VHDL⽐较严谨,VerilogHDL格式要求宽松些集成电路设计的层次:综合(synthesis)将⽤⾏为和功能层次表达的电⼦系统转换为低层次的便于具体实现的模块组合装配的过程。
(是从外⽂翻过来的别扭的句⼦)从算法表⽰转换到寄存器传输级,即⾏为综合从RTL级表⽰转换到逻辑门的表⽰,即逻辑综合从逻辑门表⽰转换为版图表⽰,即版图综合或结构综合功能仿真和时序仿真:1.功能仿真:是直接对VHDL、原理图描述或其他描述形式的逻辑功能进⾏测试模拟,以了解其实现的功能是否满⾜原设计的要求的过程,仿真过程不涉及任何具体器件的硬件特性。
2.时序仿真:就是接近真实器件运⾏特性的仿真,仿真⽂件中⼰包含了器件硬件特性参数,因⽽,仿真精度⾼。
eda期末复习资料
第一章绪论1.理解EDA的含义:EDA就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。
2.EDA要素:4个基本条件:1)大规模可编程逻辑器件2)硬件描述语言3)软件开发工具4)实验开发系统(下载、硬件验证)发展:计算机辅助设计(CAD),计算机辅助工程设计(CAE),电子设计自动化(EDA)3.EDA实现目标:未来的EDA技术向深度和广度两个方向上发展4.电子系统设计方法:自顶向下设计法(分模块设计)、自底向上方法、混合式设计法。
5.自顶向下设计流程:(11个小步骤)6.EDA设计流程第四章Quartus II 【常规操作】VHDL1.VHDL的基本设计结构:(实体、结构体、库、程序包、配置)实体:ENTITY 实体名IS[GENERIC(类属表);][PORT(端口表);]END [ENTITY] [实体名]结构体:ARCHITECTURE 结构体名OF 实体名IS【定义语句;】------通常定义信号,常量,申明元件BEGIN并行处理语句EDN ARCHITECTURE{[结构体名]库和程序包:IEEE库、STD_LOGIC_1164程序包实体: ENTITY name …END ENTITY name;结构体: ARCHITECTURE name OF entity_name…END ARCHITECTURE;2端口信号模式: IN 、OUT、INOUT、BUFFER3.标识符的规定:1)以英文字母开头2)不以下划线结尾,同时不连续使用下划线3)26个英文字母大小写、0-9数字及下划线组成4)保留字不能作为标识符标识符的用途:定义常量、变量、信号、端口、子程序等等4.VHDL的数据对象有哪些:1)常量2)变量3)信号VHDL的文字规则(整数的数制基数表达方式):主要由5个部分组成1)用十进制数标明的数制进位基数2)数制间隔符“#”3)表达的数值4)指数间隔符“#”;5)指数部分,如果指数部分为0,可以省略第5部分;5.掌握常用数据类型(如数组定义等):标量型:标量类型的数据对象在某一时刻只对应一个值。
EDA复习题(含答案)
1.可编程逻辑器件在现代电子设计中越来越重要,请问:你所知道的可编程逻辑器件有哪些?目前最常用的两种器件是什么?其结构特征如何?答:按可编程逻辑器件的发展,有简单PLD器件(包括PLA、PAL、GAL、CPLD、FPGA 等)和复杂PLD器件两大类。
目前最常用的两种复杂PLD器件是CPLD和FPGA。
CPLD 即复杂可编程逻辑器件,其结构是基于ROM的乘积项的可编程结构,而FPGA 是现场可编程门阵列器件,其结构基于可编程的查找表。
2.简述FPGA等可编程逻辑器件设计流程答:FPGA等可编程逻辑器件的设计流程即现代EDA设计的流程,主要包括设计输入、逻辑与结构综合、时序与功能仿真、编程下载、硬件测试等步骤。
(或绘流程图说明)3.一个设计实体由哪几个基本部分组成?它们的作用如何?答:(1)库与程序包部分:使实体所用资源可见;(2)实体部分:设计实体的外部特征描述;(3)结构体部分:设计实体的内部电路结构或功能描述。
4.进程语句是如何启动的?答:进程由敏感信号列表中的敏感信号的变化启动。
有两种格式:一种是 PROCESS(敏感信号表)IS,一种是PROCESS WAIT UNTILL 敏感信号5.过程与函数的区别体现在哪些方面?答:相同点:过程与函数都属于子程序,;都需要先定义后使用;都允许调用;都可以重载。
但也有不同:(1)过程调用时作为一个独立的语句出现,函数调用时只能作为一个语句元素出现;(2)函数调用的结果是返回一个函数值,过程调用的结果是执行过程体中的顺序语句。
6.过程可以定义在一个VHDL程序的那些位置?函数可以定义在一个VHDL程序的那些位置?7.VHDL是强类型语言还是弱类型语言?若数据类型不一致能否进行数据操作?如能,如何实现?答:强类型语言,即只有同类型的数据能够直接进行数据操作。
若数据类型不一致不能进行直接数据操作,但能够通过类型转换函数等方法转换为同类型数据后进行操作。
8. 有限状态机适用于什么数字系统的设计?有何优点?答:有限状态机适用于具有顺序控制特征的数字系统设计,一般作为系统的控制部分。
EDA复习提纲
EDA技术与VHDL语言期末复习指导课程要求:本课程是通信类专业的专业技术课,要求学生通过本课程的学习和实验,初步掌握常用EDA工具的使用方法、FPGA的开发技术以及VHDL语言的编程方法。
能比较熟练地使用Quartus II等常用EDA软件对FPGA和CPLD作一些简单电路系统的设计,同时能较好地使用VHDL语言设计简单的逻辑电路和逻辑系统,学会行为仿真、时序仿真和硬件测试技术,为现代EDA工程技术的进一步学习,通信类ASIC器件设计以及通信类超大规模集成电路设计奠定基础,使学生具备可编程类硬件设计的技术手段。
课程复习提纲:(一)概论(基础知识)现代EDA技术;EDA基本概念P.1 【名词解释】EDA发展趋势(IP核)P.2【简答】EDA技术实现目标:3点P3~P4【简答】VHDL概况;(名词解释)P4【名词解释】自顶向下的系统设计方法;P8~ P10【简答】对现代EDA技术及实现工具的使用方法和发展情况有了解。
P11【简答】(二)EDA设计流程及工具(基础知识)基于EDA软件的FPGA/CPLD开发流程和ASIC设计流程;P12~P16 其中的几个“小标题”并简单扩展;ASIC设计方法;P17~P18;【简答】一般的ASIC设计流程;P19;【简答】与这些设计流程各环节密切相关的EDA工具软件(Quartus II);综合软件的名称等;P19~P23;【填空】IP、SOC,FPGA,CPLD等术语。
P24等【名词解释】(三)FPGA/CPLD结构与应用(基础知识)FPGA和CPLD的基本技术;可编程器件的分类;P28【简答或填空】几类常用的可编程逻辑器件的结构和工作原理;【简答】PLD,PROM,PLA,PAL,GAL P29~ P31,P36~ P40对CPLD的乘积项原理;P38【简答】FPGA的查找表原理;P40【简答】相关的编程下载和测试技术。
P46~ P50 【了解】(四)VHDL设计初步(重点知识)通过简单、完整而典型的VHDL设计示例,初步了解VHDL表达和设计电路的方法;VHDL语言现象和语句规则;VHDL系统设计技巧;(五)Quartus II应用向导(一般了解,需了解参数配置方法)基于Quartus II的VHDL文本输入设计流程(通过实例),包括设计输入、综合、适配、仿真测试和编程下载等方法;【了解】Quartus II包含的一些有用的测试手段;【了解】原理图输入设计方法。
EDA考试复习提纲
1、进程中的信号赋值语句,其信号更新是 C 在进程的最后完成;。
2、子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化___B__②资源共享③逻辑优化④串行化3、综合是EDA设计流程的关键步骤,在下面对综合的描述中,D综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。
是错误的。
4、IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP;下列所描述的IP核中,对于硬IP的正确描述为___ D__都不是。
5、VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_____B_器件的内部功能_____。
6、下列标识符中,____B_9moon_____是不合法的标识符。
7、下面对利用原理图输入设计方法进行数字电路系统设计,那一种说法是不正确的___B_原理图输入设计方法无法对电路进行功能描述__。
8、电子系统设计优化,主要考虑提高资源利用率减少功耗----即面积优化,以及提高运行速度----即速度优化;指出下列那种方法不属于速度优化:____B_ 串行化9、大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是C、基于SRAM的FPGA器件,在每次上电后必须进行一次配置;10、IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为____A、软IP______。
11、不完整的IF语句,其综合结果可实现___A_时序逻辑电路____。
12.下列EDA软件中,哪一个不具有逻辑综合功能:___B_ModelSim13、基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→________→综合→适配→__________→编程下载→硬件测试。
eda期末复习提纲.doc
1.EDA(electronic design automation)电子设计自动化2.FPGA 全称field programmable gate array 现场可编程门阵列3.CPLD 全称complex programmable logic device 可编程逻辑器件4.VHDL 全称VHSIC hardware description language 标准硬件描述语言5.综合:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。
综合过程将把软件设计的HDL描述与硬件结构挂钩,是将软件转化为硬件电路的关键步骤。
综合就是将电路的高级语言(如行为描述)转换成低级的,可与FPGA/CPLD的基本结构相映射的网表文件或程序。
6.基于EDA软件的FPGA/CPLD开发流程图P127.时序仿真:就是接近于真实器件运行特性的仿真,仿真文件中已包含了器件硬件特性参数。
& 功能仿真:是直接对HDL、原理图描述或其他描述形式的逻辑功能进行测试模拟,以了解其实现的功能是否满足原设计的要求。
9.IP就是知识产权核或知识产权模块的意思。
10.IP 分软IP、硬IP、固IP»11.软IP:不涉及用什么具体电路元件。
12.固IP:完成了综合的功能块。
13.硬IP:提供设计的最终阶段产品:掩模。
14.IP模块的优化设计(四最):芯片面积最小、运行速度最快、功率消耗最低、工艺容差最大。
15.可编程器件的演变过程(了解):20世纪70年代,PROM, PL A: 70年代末,PLA改进成PAL;80年代初,发明了GAL;80年代中期,产生FPGA,又推出EPLD比GAL有更高的集成度;80年代末,CPLD; 90年代后,加法器、乘法器、RAM、CPU、DSP 核等。
16.PLD器件从结构上分为两类:一类属乘积项结构器件,如CPLD»另一类是基于查表结构的器件,如FPGA。
eda期末考试题及答案
eda期末考试题及答案EDA期末考试题及答案一、选择题(每题2分,共20分)1. EDA代表的是:A. 电子设计自动化B. 电子数据交换C. 电子文档管理D. 电子设备分析答案:A2. 在EDA中,HDL指的是:A. 高级硬件描述语言B. 硬件描述语言C. 硬件设计语言D. 硬件开发语言答案:B3. 下列哪个不是EDA工具的常见功能?A. 仿真B. 布局C. 布线D. 编程答案:D4. FPGA代表的是:A. 现场可编程门阵列B. 固定可编程门阵列C. 功能可编程门阵列D. 快速可编程门阵列答案:A5. VHDL是一种:A. 编程语言B. 硬件描述语言C. 数据库语言D. 操作系统答案:B6. 以下哪个是EDA软件的典型应用?A. 网页设计B. 游戏开发C. 电子电路设计D. 办公自动化答案:C7. 在EDA设计流程中,综合是指:A. 将设计从逻辑级别转换为门级别B. 将设计从门级别转换为晶体管级别C. 将设计从晶体管级别转换为物理布局D. 将设计从物理布局转换为最终产品答案:A8. 下列哪个是EDA设计中的错误?A. 功能错误B. 语法错误C. 布局错误D. 所有选项都是答案:D9. 以下哪个不是EDA设计中的测试类型?A. 功能测试B. 性能测试C. 压力测试D. 代码测试答案:D10. 在EDA中,后仿真分析是指:A. 在仿真之前进行的分析B. 在仿真之后进行的分析C. 在仿真过程中进行的分析D. 不进行任何分析答案:B二、简答题(每题10分,共30分)1. 请简述EDA在电子设计中的重要性。
答案:EDA(电子设计自动化)是现代电子设计不可或缺的工具,它允许设计师使用软件工具来设计和验证电子系统,从而提高设计效率,减少错误,加快产品上市时间,并且可以设计出更复杂、更高性能的电子系统。
2. 描述一下在EDA设计流程中,仿真的作用是什么?答案:在EDA设计流程中,仿真是一个关键步骤,它允许设计师在实际制造电路之前验证设计的功能和性能。
EDA期末考试试题
第一部分:填空题1.一般把EDA技术的发展分为CAD、CAE和EDA三个阶段,并向着ESDA方向发展。
2.EDA技术在应用设计领域主要包含哪四个方面的内容(1)HDL (2)PLD(3)EDA工具软件(4)EDA开发系统。
3.EDA技术的基本特征(1)自顶向下的设计方法;(2)采用硬件描述语言;(3)高层综合和优化;(4)并行工程;(5)开放性和标准化。
4.当前最流行的并成为IEEE标准的硬件描语言是V HDL和Verilog-HDL。
5.什么是PLD?答: PLD,Programmable-Logic-Device,即可编程逻辑器件。
是一种具有内建结构、由用户编程以实现某种逻辑功能的新型逻辑器件。
6.SPLD的基本结构框图是什么?7.一般CPLD器件至少包含可编程逻辑宏单元,可编程I/O单元和可编程内部连线3种基本结构。
一般FPGA器件至少包含可编程逻辑功能块/CLB、IOB/可编程I/O块和PI/可编程内部互连三类可编程资源。
8.用PROM完成半加器/全加器的示意图。
9.使用方框图示意出采用硬件描述语言设计硬件电路进行由上而下的设计的三个层次为:10.可编程逻辑器件的发展趋势在哪5个方面(1)向更大规模、更高集成度的片上系统方向发展(2)向低电压、低功耗的绿色器件方向发展(3)向更高速可预测延时的方向发展(4)向在PLD内嵌入多种功能模块的方向发展(5)向模数混合可编程的方向发展11.目前,在PLD器件制造与生产领域的三大公司为Altera、Xilinx和Lattice 12.FPGA的发明者是Xilinx公司;ISP编程技术的发明者是Lattice公司。
13、目前常见的可编程逻辑器件的编程和配置工艺包括基于E2PROM/Flash技术、基于SRAM查找表的编程单元和基于反熔丝编程单元。
14、基于EPROM、E2PROM和快闪存储(flash)器件的可编程器件,在系统断电后编程信息不丢失15、采用SRAM结构的的可编程器件,在系统断电后编程信息丢失16、V erilog-HDL于1983年推出,是在C语言的基础上演化而来的。
EDA技术基础复习资料.doc
EDA复习资料《EDA技术基础》题库及参考答案(试用版)目录一、填空题 (I)二、单选题 (4)三、简答题 (10)四、应用题 (11)五、上机实验题 (15)一、填空题1.现代电子技术经历了CAD 、CAE 和EDA 三个主要的发展阶段。
2.EDA技术包括大规模可编程器件、硬件描述语HDL 、EDA工.具软件和实验开发系统四大要素O3.EDA的设计输入主要包括丈本输入方式、图形输入方式和波形输入方式三种形式。
4.目前已经成为IEEE标准、应用琅为广泛的硬件描述语言有VHDL 和Verilog HDL °仿真是种对所设计电路进行间接检测的方法,包括功能仿真和时序仿真。
5.层次化设计是将一个大的设计项目分解为若干个子项目或若干个层次来完成的。
先从底层的电路设计开始,然后在高层次的设计中逐级调用低层次的设计结果,宜至实现系统电路的设计。
6.用HDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为个电路的功能块独立存在和独立运行一°7.可编程逻辑器件从结构上可分为乘积项结构器件和查找表结构器件o8.PLD (FPGA、CLPD)种类繁多,特点各异。
共同之处包括的三大部分是逻辑块阵列、输入/输出块和互连资源。
9.FPGA两类配置下载方式是主动配置方式和被动配置方式o10.Quartus II是EDA器件制造商Altera公司自己开发的EDA工具软件。
11.Quartus III:具软件安装成功后、第一次运行前,还必授权。
12.Quartus II支持原理图、文本和波形等不同的编辑方式。
13.在Quartus II集成环境下,设计义件不能直接保存在计算机磁盘根目录中,因此设计者在进入设计之前,应当在磁盘根目录中建立保存设计文件的工程目录(文件夹)。
14.在Quartus II集成环境下执行原理图输入设计法,应选择—模块/原理图文件(BlockDiagram/Schematic File ). 方法,设计文件的扩展名是.bdf _______ 。
最新EDA期末考试试卷及答案资料
最新EDA期末考试试卷及答案资料精品文档一、适配器的功能是在指定的目标设备中配置合成器生成的网表文件,以生成最终下载文件b.适配所选定的目标器件可以不属于原综合器指定的目标器件系列c、自适应完成后,自适应生成的仿真文件可用于精确的定时仿真。
D.一般来说,edal软件中的合成器可以由专业的第三方EDA公司提供,而适配器需要由FPGA/CPLD供应商提供2.vhdl语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述d。
a、设备的外部特征B.设备的综合约束C.设备的外部特征和内部功能D.设备的内部功能3。
在以下标识符中,B是非法标识符。
a.state0b、 9moonc.不u确认u0d.signall4.在以下工具中,D属于FPGA/CPLD集成开发工具a.modelsimb.synplifyproc.matlabd.quartusii5.进程中的变量赋值语句,其变量更新是a。
a、立即完成B.按顺序完成C.在过程结束时完成D.没有一个是正确的6.以下关于case语句描述中错误的是aa.case语句执行中可以不必选中所列条件名的一条b、除非所有条件句的选择值都能完全覆盖case语句中表达式的值,否则最后一个条件句的选择必须加上最后一句“when others=>”c.case语句中的选择值只能出现一次D.在条件语句中的选择值或标识符所表示的值必须位于表达式的中间。
精品文档值范围7.以下哪个程序包是数字系统设计中最重要最常用的程序包ba.std_logic_arithb.std_logic_1164c、标准逻辑无符号d.std_logic_signed8.基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入→ A.→ 合成→ 改编本→ 定时模拟→ 编程下载→ 硬件测试。
a、功能模拟B.逻辑综合C.配置d.引脚锁设置9.不完整的if语句,其综合结果可实现da、三态控制电路B.条件相位逻辑电路或c.双向控制电路d、顺序逻辑电路10。
EDA期末试卷及答案
EDA期末试卷及答案EDA期末试卷一、填空题1.一般把EDA技术的开展分为 MOS时代、 CMOS代和 ASIC 三个阶段。
2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。
3.EDA设计输入主要包括图形输入、 HDL文本输入和状态机输入。
4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。
5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。
6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。
7.以EDA方式设计实现的电路设计文件,最终可以编程下载到 FPGA 和CPLD 芯片中,完成硬件设计和验证。
8.MAX+PLUS的文本文件类型是〔后缀名〕 .VHD 。
9.在PC上利用VHDL进行工程设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录〔即文件夹〕。
10.VHDL源程序的文件名应与实体名相同,否那么无法通过编译。
二、选择题:。
11.在EDA工具中,能完成在目标系统器件上布局布线软件称为〔C 〕A.仿真器B.综合器C.适配器D.下载器12.在执行MAX+PLUSⅡ的〔D 〕命令,可以精确分析设计电路输入与输出波形间的延时量。
A .Create default symbol B. Simulator C.Compiler D.Timing Analyzer 13.VHDL常用的库是〔A 〕A. IEEEB.STDC. WORKD. PACKAGE14.下面既是并行语句又是串行语句的是〔 C 〕…ELSE语句15.在VHDL中,用语句〔D 〕表示clock的下降沿。
A. clock’EVENT B. clock’EVENT AND clock=’1’ C. clock=’0’ D. clock’EVENT AND clock=’0’16. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。
重庆大学期末EDA复习纲要(优.选)
1、题型填空10*2’简答4*5’分析3*10’(程序分析,画出对应波形图;给出程序,画出电路)设计2*15’(要求条例分明,逻辑清晰)2、考点一、EDA设计流程1、E DA设计流程:设计输入、综合、适配、时序仿真及功能仿真、编程下载、硬件测试2、设计输入:图形输入、HDL文本输入。
图形输入:原理图输入、状态图输入和波形图输入。
3、时序仿真:接近真实器件运行特性的仿真,仿真文件中包含了器件硬件特性参数,仿真精度高。
4、功能仿真:直接对VHDL、原理图描述或其他描述形式的逻辑功能进行测试,以了解其实现的功能是否满足原设计要求,仿真过程不涉及任何具体器件的硬件特性。
设计耗时短,对硬件库、综合器等没有任何要求。
一般先进行功能测试再进行时序仿真。
二、FPGA/CPLD1、CPLD:乘积项原理FPGA:查找表原理三、有限状态机设计1、状态编码:状态位直接输出型编码、顺序编码、一位热键编码2、状态位直接输出型编码:将状态编码直接输出作为控制信号,即output=state,要求对状态机个状态的编码做特殊的选择,以适应控制时序的要求。
3、顺序编码:000-→001-→010-→011-→100-→101-→110-→111,8位状态机只需要三个状态机。
4、一位热键编码:用n个触发器实现具有n个状态的状态机,状态机中的每一位都由其中一个触发器的状态表示。
100000-→010000-→001000--→000100-→000010-→000001。
四、VHDL文字规则1、整数、实数(必须带有小数点)、物理量文字(VHDL不接受此类文字),如:60s,100m2、字符串:一维的字符数组。
字符以单引号标示,字符串以双引号标示。
文字字符串:双引号括起的一段文字。
数字字符串,预定义的数据类型BIT的一位数组。
B:二进制;O:八进制,每位位矢数组长度3;X:十六进制,每位位矢数组长度4。
3、标识符:必须以英文字母开头、必须是单一的下划线,且其前后必须是字母或数字;允许包含图形符号(回车、换行等),也允许包含空格。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1、题型
填空10*2’简答4*5’分析3*10’(程序分析,画出对应波形图;给出程序,画出电路)设计2*15’(要求条例分明,逻辑清晰)
2、考点
一、EDA设计流程
1、E DA设计流程:设计输入、综合、适配、时序仿真及功能仿真、编程下
载、硬件测试
2、设计输入:图形输入、HDL文本输入。
图形输入:原理图输入、状态图
输入和波形图输入。
3、时序仿真:接近真实器件运行特性的仿真,仿真文件中包含了器件硬件特
性参数,仿真精度高。
4、功能仿真:直接对VHDL、原理图描述或其他描述形式的逻辑功能进行测
试,以了解其实现的功能是否满足原设计要求,仿真过程不涉及任何具体器件的硬件特性。
设计耗时短,对硬件库、综合器等没有任何要求。
一般先进行功能测试再进行时序仿真。
二、FPGA/CPLD
1、CPLD:乘积项原理FPGA:查找表原理
三、有限状态机设计
1、状态编码:状态位直接输出型编码、顺序编码、一位热键编码
2、状态位直接输出型编码:将状态编码直接输出作为控制信号,即output=state,要求对状态机个状态的编码做特殊的选择,以适应控制时序的要求。
3、顺序编码:000-→001-→010-→011-→100-→101-→110-→111,8位状态机只
需要三个状态机。
4、一位热键编码:用n个触发器实现具有n个状态的状态机,状态机中的每一位都由其中一个触发器的状态表示。
100000-→010000-→001000--→000100-→000010-→000001。
四、VHDL文字规则
1、整数、实数(必须带有小数点)、物理量文字(VHDL不接受此类文字),如:60s,100m
2、字符串:一维的字符数组。
字符以单引号标示,字符串以双引号标示。
文字字符串:双引号括起的一段文字。
数字字符串,预定义的数据类型BIT的一位数组。
B:二进制;O:八进制,每位位矢数组长度3;X:十六进制,每位位矢数组长度4。
3、标识符:必须以英文字母开头、必须是单一的下划线,且其前后必须是字母或数字;允许包含图形符号(回车、换行等),也允许包含空格。
4、操作符:逻辑操作符,关系操作符,算术操作符。
逻辑操作符:and,or,xor,xnor等等;关系操作符:=,<=,>=,<,>等等;算术运算符:加减乘除移位等等。
五、属性描述语句。
1、信号类属性:event,stable与之相反。
对于目前的VHDL综合器,Event 只能用于if、when语句中。
2、数据区间属性:’range[(n)]以及’reverse_range[(n)],两者返回次序相反,前者与原序列相同,后者相反。
返回值是一个区间。
Eg:signal range1: in std_logic_vector(7 downto 0)。
3、数值类属性:left、right、high、low。
Page306
4、数组属性:’length,表征数组长度。
A=array1’length
六、IP核
1、软IP:用VHDL等硬件描述语言描述的功能模块,但是不涉及什么具体电路元件实现这些功能。
周期短,投入少,不涉及物理实现,增大了IP的灵活性与适应性。
2、固IP:完成了综合的功能块。
较大的设计深度,一般以网表形式提交用户使用。
3、硬IP:提供设计的最终产品:掩模。
设计深度高,后续工作少,但灵活性差。
七、PCB印刷版电路设计
1、类别:刚性和挠性;单层、双层和多层
2、封装类型:
分离封装、双列直插式封装(dual in-line package)、针阵式封装(pin grid package)、表面贴装器件(surface mount device)、针栅阵列封装(PGA)、塑料引线片式载体封装(PLCC)、球状栅格阵列封装(BGA)等等
3、板面介绍
元件面(component side)、焊接面(与元件面相对,solder side)、丝印层(用于标注元件,采用丝印法)、阻焊层、焊盘、金属化孔、通孔、坐标网格(定于元件与网格交点上)。
4、常用设计标准
网格尺寸(常用2.5mm)、标称孔径与最小焊盘直径、导线宽度、导线间距、
焊盘形状(方、圆、椭圆等)。
八、顺序语句
赋值语句、if、case、loop、next、exit、wait、子程序调用语句、return语句、null语句
九、并行语句
并行信号赋值语句、块语句、并行过程调用语句、元件例化语句、report语句、断言语句
十、范本
Use ieee;
Use ieee.std_logic_1164.all;
Entity xxx is
Port(xxx);
End entity xxx;
Architecture xxx of xxx is
begin
Xxx:process(x,x,x)
Begin
Xxx
Xxx
End process xxx
End xxx
十一、常用语法
1、信号与变量。
信号signal:用于作为电路中的信号连接,在整个结构体内的任何地方都能使用,在进程最后才对信号赋值。
变量variable:用于作为进程中局部数据储存单元,只能在所定义的进程中使用,立即赋值。
信号的赋值需要一个延时,end process 语句到达后延迟才发生,延迟后进程内所有语句同时完成,而最接近end process 的语句先被赋值。
进程语句必须由敏感信号的变化来驱动。
2、仿真延时。
1)、固有延时:b<=a after 20ns ;当a的时间长度小于20ns,对b无影响。
2)、传输延时:b<= transport a after20ns; 无论a时间多长,b始终会完成赋值,尽是传输时间延迟。
3、if语句
If xxx then
Xxxxx
Elsif xxx then
Xxx
.
.
.
Else
xxxxx
End if
4、case语句
case xxx is
when 选择值=>y<=a
when…
选择值可以是:1、单个普通数值;2、数值选择范围,如2 to 4 ;3、并列数值,如1|2,取值3或者5 ;4、混合方式(以上三种方式的混合)。
当选择范围确定时,各选择值必须对应一种状态,且不允许重叠。
5、l oop语句
1)for n in 0 to 7 loop
xxxx;
end loop;
2)l1:loop
Xxxx
Exit l1 when xxxx;
End loop l1;
3)next语句
用于有条件或无条件的转向控制
Next;开始下一次循环
Next loop 标号;
Next loop 标号when 条件表达式;一定条件时调到下一次循环或其他循环。
4)exit语句Exit loop 标号。
6、null空操作,用于匹配else语句等
7、Wait挂起语句
Wait ,永远挂起
Wait on 信号表,当信号变化时停止挂起
Wait until 条件表达式,条件等待语句
Wait for 时间表达式,超时等待语句
8、有限状态机(mealy,同步输出;moore,异步输出)
自定义数据类型type 数据类型名is 数据类型定义of 基本数据类型
Type st1 is array (0 to 15) of std_logic;
Type week is (sun,mon,tue,wed,thu,fri,sat);枚举型
枚举型定义,用数字是,必须加单引号
Type a is(‘1’,’a’,’2’);
子类型subtype,定义同type
调用type同signal,在进程前说明,进程中使用。
9、元件例化参见教材page297
最新文件---------------- 仅供参考--------------------已改成word文本--------------------- 方便更改。