modelsim中指定xilinx的仿真库方法

合集下载

搭建Xilinx开发环境(4)------ 使用Modelsim进行后仿真

搭建Xilinx开发环境(4)------ 使用Modelsim进行后仿真

搭建Xilinx开发环境(4)------ 使用Modelsim进行后仿真在《搭建Xilinx开发环境(2)------ 使用Modelsim进行功能仿真》介绍了如何利用Modelsim进行Xilinx FPGA的功能仿真。

这次介绍一下利用Modelsim进行Xilinx FPGA后仿真的过程。

Step1:在ISE下产生布局布线后的网表,如下图所示操作:执行这一操作后,会在netgen\par文件夹产生两个文件xxx_timsim.v和xxx_timsim.sdf。

前者是用来布局布线后的网表文件,后者布局布线后的时延文件。

Step2:打开Modelsim新建一个工程,将netgen\par文件夹copy到工程目录下,加入xxx_timsim.v文件和testbench文件如果这一步没有讲netgen\par文件夹copy到工程目录,那么仿真时会出一下错误:# ** Error: (vsim-7) Failed to open SDF file "netgen/par/top_timesim.sdf" in read mode.# No such file or directory. (errno = ENOENT)# ** Error: (vsim-SDF-3445) Failed to parse SDF file "netgen/par/top_timesim.sdf".# Time: 0 ps Iteration: 0 Region: /glbl File: F:/FPGA_Project/Xilinx/01_IPcore_test/IPcore_test/work/tes tbench/post/netgen/par/top_timesim.v# Error loading designStep3:添加一个仿真配置文件,并进行配置。

这里主要是添加仿真库,以及制定SDF文件,如何添加仿真库已经在《搭建Xilinx开发环境(2)------ 使用Modelsim进行功能仿真》中介绍过了。

Modelsim仿真库的编译

Modelsim仿真库的编译

在使用ModelSim软件对Xilinx ISE进行后仿真时,需要先编译Xilinx的仿真库,否则就会产生无法加载simprim,unisim等库文件的错误。

在许多论坛上都提到了使用compxlib命令来编译Xilinx的仿真库,如使用compxlib -s mti_se –arch all -l all -dir D:\Modeltech_6.0\xilinx_lib -w.但是对于如此复杂,而又不经常使用的命令有谁会愿意记忆呢。

还好我们可以使用一个具有图形化界面的编译向导工具来方便的产生仿真库。

今天无意中在Xilinx ise软件的安装目录下发现了一个名为compxlibgui.exe的程序,根据其名字可以推测这是一个用于编译仿真库的图形化程序。

打开一看,确实很好用,提供向导式的编译过程,只需一路next就可以搞定。

具体使用步骤如下:使用的编译工具:compxlibgui.exe工具位置:Xilinx ISE安装路径\bin\nt目录下步骤一:去除modelsim.ini文件的只读属性modelsim.ini文件是ModelSim软件的配置文件。

Xilinx的仿真库编译软件运行过程中会修改此文件。

在ModelSim软件的安装目录下找到modelsim.ini文件,右击->属性,去除只读属性。

步骤二:运行编译工具,compxlibgui.exe在Xilinx ISE安装路径\bin\nt目录下,双击_compxlibgui.exe程序,界面如下图:首先需要选择仿真器,在此选择ModelSim,选择之后,程序会自动选择仿真软件的安装路径,如果自动选择的有问题,也可以自己指定。

如何用ModelSim se完全编译Xilinx库文件2010-12-28 15:07:29| 分类:默认分类|字号订阅什么是之前的老办法呢?Modlesim 仿真库的建立:将Modelsim根目录下的modelsim.ini的属性由只读改为可写。

使用compxlib命令编译Xilinx的ModelSim仿真库_20220104

使用compxlib命令编译Xilinx的ModelSim仿真库_20220104

使用compxlib命令编译Xilinx的ModelSim仿真库_20220104\Modeltech_6.5a\某ilin某_lib”使用您自己的实际路径代替)。

当这一命令执行完成后,某ilin某器件的ModelSimSE仿真库便生成了,编译后的仿真库位于“C:\Modeltech_6.5a\某ilin某_lib”目录下。

而且这个命令自动修改了modelim.ini文件,将仿真库的逻辑名字与它的实际存放路径作了永久的映射。

现在运行ModelSim,如果已经自动打开了以前的工程则将这个工程关闭,我们可以看到,所有的某ilin某仿真库都出现了![-l<language>][-lib<library>][-dir<output_dir>][-w][-log<log_file>][-p<dir_path>][-martmodel_etup][-verboe]-<imulator>-mti_pe//为ModelSimPE编译仿真库;-ncim//为NCSIM编译仿真库;-vc_m某//为VCS-M某编译仿真库;-vc_m某i//为VCS-M某i编译仿真库;对ModelSim仿真器来说,我们可以使用-mti_e或-mti_pe,例如在上面的例子中我们使用了-mti_e选项。

-arch<arch>-archvirte某//为Virte某器件族编译仿真库;-archvirte某e//为Virte某E器件族编译仿真库;-archvirte某2//为Virte某-II器件族编译仿真库;-archvirte某2p//为Virte某-IIPro器件族编译仿真库;-archvirte某4//为Virte某-4器件族编译仿真库;-archpartan2//为Spartan-II器件族编译仿真库;-archpartan3//为Spartan-3器件族编译仿真库;-archpartan2e//为Spartan-IIE器件族编译仿真库;-archpartan3e//为Spartan-3E器件族编译仿真库;-archfpgacore//为某ilin某提供的IPCore编译仿真库;-archcpld//为某ilin某的CPLD编译仿真库;-archcr2//为某ilin某的CoolRunner-IICPLD编译仿真库;-arch某pla3//为某ilin某的CoolRunner某PLA3CPLD编译仿真库;-arch某c9500//为某ilin某的某C9500CPLD编译仿真库;-arch某c9500某l//为某ilin某的某C9500某LCPLD编译仿真库;-arch某c9500某v//为某ilin某的某C9500某VCPLD编译仿真库;-l<language>-l<language>选项用于指明为哪一种HDL语言编译仿真库。

Xilinx学习资料

Xilinx学习资料

第0篇Xilinx FPGA开发环境的配置一、配置Modelsim ISE的Xilinx的仿真库1、编译仿真库:A、先将Modelsim安装目录C=Modeltech_6.2b下面的modelsim.ini改成存档格式(取消只读模式);B、在DOS环境中,进入Xilinx的根目录,然后依次进入bin,nt目录;C、运行compxlib -s mti_se -f all -l all -oC:Modeltech_6.2bxilinx_libs。

注意:需要根据你安装的modelsim目录更改C:Modeltech_6.2b 然后就Ok了,就可以的ISE中启动Modelsim进行所有的仿真了。

2、如何在Xilinx ISE中使用Modelsim ISE,Synplify进行综合和仿真:A、打开Xilinx ISE,新建一个Project;①、在菜单File中选择“New Project”,弹出如下的对话框:②、输入Project名称,并选择好Project保存的路径,然后下一步:字串3按照上边的参数进行设置(针对于Spatan 3E的开发板),然后单击下一步,进入到后面的界面:③、单击“New Source”按钮,并按照下面的设置来操作:④、参照下面的参数,进行设置,然后一直选择默认选项,一直到完成。

最后生成的项目界面如下图所示:B、输入代码,然后用Synplify综合:①、参考代码:entity Count iSPort(CLK :in STD_LOGIC;RESET :in STD_LOGIC;LOAD :in STD_LOGIC;DATA IN:in STD_LOGIC_VECTOR(3 downto 0);字串9Qout :out STD_LOGIC_VECTOR(3 downto 0));end Count;architecture Behavioral of Count issignal tmpCount:STD_LOGIC_VECTOR(3 downto 0);beginprocess(CLK,RESET,LOAD)beginif RESET='1' thentmpCount<="0000";elseif LOAD='1' thentmpCount<=DATA_IN;elsif CLK'event and CLK='1' thentmpCount<=tmpCount+1;end if;end if;end process; 字串6Qout<=tmpCount:end Behavioral;②、双击Processes窗口里面的“Synthesize-Synplify”进行综合③、在“Transcript”窗口中的可以看到综合的信息。

Modelsim 中建立仿真库的简单方法(我一直用方法3)

Modelsim 中建立仿真库的简单方法(我一直用方法3)

方法一(参照方法二,设置真的很复杂....):奶奶的,搞了一晚上的modelsim仿真库,找了很多方法,找到一种一劳永逸的,介绍如下:看下图,我新建一个工程时,注意到最下面的Copy Setting From,里面的内容是D:/Program Files/modeltech_6.5b/examples/modelsim.ini,我发现这个是modelsim系统的一个默认配置,所以一劳永逸的方法就是更改这个文件:modelsim.ini。

1.参照我的上述路径取消Modelsim.ini配置文件的只读属性。

2.找一个永久保存的路径,比如我的是在在D:\2_Project\FPGA\sim_lib。

打开modelsim,然后file->change Direcory,指向D:\2_Project\FPGA\sim_lib。

3.执行File->New->Library,在弹出的对话框中,Create项选择"a new library and a logical mapping to it";Library Name项填写新建库的名称,我的是altera_mf_sim;Library Physical Name中也自动修改为altera_mf_sim。

点击OK后,在D:\2_Project\FPGA\sim_lib下将会看到新建的altera_mf_sim文件夹。

4.执行Compile->Compile,弹出如下对话框。

在Library下拉列表中选择库,表示编译工作是对该库进行的,我选择新建的altera_mf_sim库;然后在下面窗口中选择仿真模型文件,这里以altera_mf.v为例,它是quartus自带的仿真模型文件,在quartus安装路径\eda\sim_lib 文件夹中可以找到。

选择库和文件后(可以是多个文件),点击"Compile"按钮,等待编译完成,然后点击"Done"按钮退出。

ModelSim中编译Xilinx仿真库

ModelSim中编译Xilinx仿真库

ModelSim中编译Xilinx仿真库ModelSim是Mentor Graphics出品的一款VHDL、Verilog、SystemC、SystemVerilog以及混合语言设计的仿真和验证工具。

在ModelSim中,所有的设计(包括用户设计以及EDA工具预先提供的设计)必须编译成一个或多个仿真库。

ModelSim的仿真库实际是一个目录,它可以看作是存储经过编译的设计单元的“仓库”。

ModelSim的仿真库可以分成两类:(1) 本地工作库;(2) 资源库。

本地工作库随着我们设计的更新和编译发生变化,可以说,它是动态的。

而资源库通常是静态的并且通常作为我们设计的标准部件来使用,这些资源库可以由我们自己产生,也可由其他的设计团队或第三方厂商提供。

Xilinx是业界领先的FPGA厂商,它的集成开发环境ISE也是业界最受欢迎的开发环境之一。

在我们使用Xilinix的FPGA进行设计的时候经常会使用Xilinx预先提供的元件或IP。

在设计的功能仿真时,ModelSim需要知道这些元件或IP的仿真模型。

而且即使我们的设计没有直接使用Xilinx预先提供的元件或IP,在进行时序仿真的时候,ModelSim仍然需要知道Xilinx FPGA内部元件的仿真模型。

因此,根据ModelSim仿真库的策略,我们可以将Xilinx提供的HDL仿真模型编译成一个资源库,这样我们在作不同的设计的时候,就可以直接使用相同的资源库。

Xilinx提供的HDL仿真模型位于ISE安装路径下的verilog\src目录(对应Verilog仿真模型)和vhdl\src目录(对应VHDL仿真模型)下。

在编译Xilinx 仿真模型的时候,我们可以使用多种方法,例如直接使用ModelSim的vlib、vmap、vlog等命令进行编译、使用ISE集成开发环境进行编译等。

本文描述的方法是采用ISE 7.1i自带的compxlib命令在命令行下进行编译,这种方法不需要我们手动干预而且最为简单。

如何编译QuestaSim仿真中用到的ilinx仿真库

如何编译QuestaSim仿真中用到的ilinx仿真库

方法/步骤
左图表示了本步骤的内容,以图片内 容为准。
注意事项
ISE其他版本的编译步骤和ISE10.1类似。
注意事项
modelsim.ini文件默认是只读文件,对该文件进行编辑时,请先将只读属性去掉。
感谢观看
如何编译QuestaSim仿真中 用到的Xilinx仿真库?
内容介绍
QuestaSim是Mentor Graphics公司推出的一款支持SystemVerilog的仿真工具。在实际应用仿 真中,经常会使用到各个厂家的FPGA仿真库,每次仿真都要对使用的库文件进行编译加载,耗费 大量时间和精力。如果能够将各个厂家的仿真库直接编译到QuestaSim的默认仿真库中,则会达 到一劳永逸的效果。本篇幅详细介绍如何将Xilinx公司的FPGA仿真库编译到QuestaSim默认仿真 库中。
方法/步骤
首先确认已经正确安装好QuestaSim 和ISE两个软件(本文以 QuestaSim10.1和ISE10/nt文件夹, 点击运行其中的compxlib.exe文件。
方法/步骤
左图表示了本步骤的内容,以图片内 容为准。
方法/步骤
在弹出的对话框中,选择ModelSim (QuestaSim是ModelSim支持 SystemVerilog的版本,两者需要的 Xilinx库文件完全相同),然后选择 QuestaSim位置(QuestaSim位置要指 定到win32文件夹位置,所图所示), 完成后点击Next。
方法/步骤
接着输入生成Xilinx库文件的位置, 默认位置是ISE安装目录下。点击 Next后,工具会自动生成QuestaSim 需要的库文件。
方法/步骤
左图表示了本步骤的内容,以图片内 容为准。

在modelsim中指定赛灵思的仿真库方法

在modelsim中指定赛灵思的仿真库方法

在modelsim中指定赛灵思的仿真库方法1、批命令A、开始-运行:cmd 在DOS窗口输入:compxlib s mTI_se f all l all o c:\Modeltech _6.5d\xilinx_libs p c:\Modeltech _6.5d\win32 c:\Modeltech _6.5d是modelsim的安装目录。

整个运行时间会很长。

B、库编辑成功后,在安装目录下的modelsim.ini文件中library中加入:Xilinx_CoreLib = C:\modeltech_6.5\xilinx_libs\XilinxCoreLib Xilinx_unisim = C:\modeltech_6.5\xilinx_libs\unisim Xilinx_simprim = C:\modeltech_6.5\xilinx_libs\simprim 注意改掉只读属性。

关掉工程,重启modelsim2、Xilinx ISE中有个工具直接转换就OK。

Windows开始菜单-ise-accesserise-SimulaTIon library compilaTIon wizard,图形界面,指定编译后库地址,可以选择自己所需要的库。

其他与上面一致。

3、手动方法:modelsim 生成3个库。

首先,介绍一下这三个库。

Simprim_ver:用于布局布线后的仿真。

Unisim_ver :如果要做综合后的仿真,还要编译这个库。

Xilinxcorelib_ver:如果设计中调用了CoreGen产生的核,则还需要编译这个库。

我们要为modelsim生成的是标准库。

所谓的标准库就是modelsim运行后,会自动加载的库。

不过这方面我还不是很肯定。

因为我在后仿真时,还是要为仿真指定库的路径,不然modelsim找不到。

第一步:在modelsim 环境下,新建工程,工程的路径与你想把库存储的路径一致。

搭建Xilinx开发环境(2)------ 使用Modelsim进行功能仿真

搭建Xilinx开发环境(2)------ 使用Modelsim进行功能仿真

搭建Xilinx开发环境(2)------ 使用Modelsim进行功能仿真在搭建Xilinx开发环境(1)中以及介绍了如何在Modelsim下编译Xilinx库,完成库编译后,就可以利用Modelsim进行仿真Xilinx的各种IP core了,而不需要在ISE下先进行综合,大大节约了时间。

下面就简单描述一下整个过程。

为了验证编译库后的Modelsim可以仿真Xilinx的IP core,我们在设计中例化几个常用的IP core:(1)ROM(2)DCM(3)乘法器从上面的途中可以看到,我们例化了一个DCM,两个ROM,一个乘法器mult。

完成的功能是DCM完成对输入时钟的二分频,两个ROM分别保存初始化的数据,在并在输入时钟的控制下送出数据作为乘法器的两个输入,乘法器完成乘法后输出。

下面介绍Modelsim的仿真过程Step1:打开Modelsim SE 6.5新建一个工程,并添加所有的.v文件,如果例化IP core的时候只生成了.vhd文件,可以在ISE中生成. v文件。

然后进行编译Step2:添加一个仿真配置文件,如下图所示:在Simulation Configuration中需要设置一下几个选项:(1)添加Library。

由于我们要仿真Xilinx的IP core,所以我们需要添加编译好的Xilinx仿真库(如何编译Xilinx仿真库可以参见《搭建Xilinx仿真环境(1)------编译Xilinx仿真库》),如下图所示,三个仿真库:xilinx_corelib(用于仿真Xilinx IP core),xilinx_unisims(用于进行功能仿真),xilinx_simprims (用于进行布线后仿真)。

(2)修改Design选项,选择仿真文件,这里需要注意:要不仅要选择testbench文件,还要选择glbl文件(在modelsim中编译其他.v文件时自动生成的)。

否则在仿真时会提示出错。

有关modelsim的问题汇总

有关modelsim的问题汇总

问题一:编译xilinx仿真库在网上搜了无数帖子,结合自己数小时的实践,终于搞定了Xilinx仿真库。

测试环境:Windows XP3,Xilinx ISE Suite 10.1,ModelSim SE 6.5c使用ISE自带的库生成工具是最方便的方法。

首先打开ISE,在Edit->Preferences...->ISE General 的Intergrated Tools 的Model Tech Simulator中指定Modelsim.exe的实际安装路径。

(在这里我的路径是D:\Work\modeltech_6.5c\win32\modelsim.exe,请根据自己的实际情况更改)。

OK以后退出ISE。

然后到ModelSim安装目录下找到ModelSim.ini文件,右键将其只读属性去掉,确定。

按下WIN+R,输入compxlibgui后回车,这其实就是自带的工具Simulation Library Compilation Wizard。

点击Select simulator,选中ModelSim。

检查路径正确了以后选Next。

再Next,建议再Next,或者根据自己的实际需要选择FPGA/CPLD的具体型号。

继续Next,在Map only to existing pre-compiled libraries前打上勾,在Output Directory for modelsim.ini file using mapped libraries中选择modelsim安装根目录modelsim.ini所在的路径,这一步很重要。

再点击Next就开始编译了。

最后把ModelSim.ini文件的只读属性改回去,万事OK……问题二:Hello all,Whenever I need to look at a filter output (or any DSP core), I used to define a real signal and assign it to what I want to examine, roughly something like this:dac_real_out <= hex2real(dac_holder, 12.0);where dac_holder is a std_logic_vector. It is much easier to look at an analogue signal waveform instead of tracing hex values word by word.This used to work quite alright using ModelSim XE edition. Now for some reason, it seems I can't get it to compile my design---I get the following error:# ** Error: (vcom-42) Unsupported ModelSim library format for "work". (Format: 3)My first question is: can I go around it as I've never encountered this before.My second one is: if not, is there anyway I can make ISE simulator do the same thing? apparently I can't add this real signal to my waveform editor and presumably ISE doesn't support it.Would appreciate any input on this.Manny wrote:> This used to work quite alright using ModelSim XE edition. Now for > some reason, it seems I can't get it to compile my design---I get the > following error:> # ** Error: (vcom-42) Unsupported ModelSim library format for "work". > (Format: 3)You can't *elaborate* (vsim) your design becausethe compiled work directory does not match the simulatoryou are using. Delete it and recompile (vcom)For modelsim, that is something like:vdel -allvlib workvmap work workvcom <source files>I like to write script like thisto archive with the design.> is there anyway I can make ISE simulator do> the same thing? apparently I can't add this real signal to my waveform > editor and presumably ISE doesn't support it.I expect that is true.You are better off with a real hdl simulator in any case.问题3:使用verilog进行描述,经过编译之后,在仿真过程中发现object中无项目,最终无波形输出。

MODELSIM中添加Xilinx的库(转)

MODELSIM中添加Xilinx的库(转)

MODELSIM中添加Xilinx的库(转)⽬前MODELSIM的过程中,很多⼈往往被如何添加库所迷惑。

主要是有两个原因,⼀个是流⾏的很多做法太复杂,不适合新⼿们使⽤。

⼆是新⼿们在学习具体使⽤上有些浮躁。

对于特别复杂的做法,本⽂将不涉及任何⼀点,害怕⼤家犯晕。

介绍两种⾮常简单⽅便的做法:(1)是我认为最好的(我不喜欢把最好的放在最后,怕影响⼤家的热情)1.⾸先将modelsim.ini⽂件只读模式去掉,存档前⾯打对勾。

2.在您安装ise的⽬录下,进⼊到bin\nt⽬录下,例如c:\ise\bin\nt,确认有compxlib这个程序3.在cmd中运⾏compxlib -s mti_se -f all -l all -o -dir c:\modeltech\xilinx_libs就可以了,c:\modeltech是我安装modelsim的⽬录,您可以作相应的更改。

参数也可以按照您的要求作相应的更改。

对于3,很多⼈可能犯点糊涂,即使使⽤了也会犯错误,很多⼈再使⽤的时候有可能有以下的错误。

第⼀系统变量没有设置好,这个要在我的电脑右键属性⾥⾯环境变量设置中的系统变量设置为Compxlib所在的⽬录,同时把vsim所在的⽬录也设置在⾥⾯。

如果懒的设置也是可以有办法解决得。

可以在-s后⾯⽤命令加上vsim所在的⽬录即可我从来都是这样弄的,从来没出现过不能使⽤的情况。

(2)从ISE 中编译库的问题(这个也⾮常好,但是这个只是针对你选择的器件编译,所以不如上⾯所列的详细)左边的⼯程窗⼝(source in project),点中器件(在TOP层上⾯⼀级),然后看下⾯的Processes for Source窗⼝有Complie HDL Simulation Libraries,右键单击,有属性,设置完后双击运⾏就⾏了。

但这样只是编译本器件相关的仿真库;。

ModelSim SE中Xilinx仿真库的建立

ModelSim SE中Xilinx仿真库的建立

1. modelsim 库文件编译菜鸟手记在ISE的集成环境中直接仿真波形,需要编译相关的库文件,我先使用网站上timothy的宏文件,结果不能使用,并且使ISE集成环境的部分功能不能使用,卸载ISE和modelsim ,重新安装不行,继续卸载,删除注册表中的相关部分数据,安装,不行,格式化系统,重做系统,安装软件,手工编译库,verilog 库编译没有问题,vhdl 库手工编译不行,到xilinx 技术支持查找,有Running COMPXLIB from the command line (5.1i or later)To obtain the usage information, type "compxlib" at a command prompt. Run "compxlib -help" for details. Examples for using CompXLib with officially supported simulators are provided below. In all examples that do not use the "-p"Switch , it is assumed that the environment is set up for the desired simulator.ModelSim PE (PC example)This example compiles all of the libraries into the specified directory:compxlib -s mti_pe -f all -l all -o c:\modeltech_5.6b\xilinx_libsIf multiple versions of ModelSim are installed, point to the desired executable directory:compxlib -s mti_pe -f all -l all -o c:\modeltech_5.6b\xilinx_libs -p c:\Modeltech_5.6b\win32于是在cmd 方式下d:\xilinx\bin\nt\comxlib -s mti_se -f all -l all -o d:\modeltech_5.6\xilinx_libs运行结束后,一切正常,可以直接从ISE集成环境中调用modelsim 进行仿真模拟。

ModelSim编译仿真库的方式

ModelSim编译仿真库的方式

3.编译
如果没做好第1步,Compile会是灰色的。 右图先选择目标库,再选择文件,再点击 Compile,一次可以编译多个文件,全部编译 完成就点击Done
成功后产生左侧三张图的结果 这次还需要一个vivado_unisims库 这个就不写出来了。
4.使用
我这里是仿真一个Xilinx的PLL。 启动top的同时还需要同时启动一个glbl(这个也可以例化在top里,随心情)。 然后真正需要添加的库是vivado_unisims
点小三角就行了,要不然库就算是白建了一半。
最后一张图,选完,仿真,结束。 这就是ModelSim的库的创建及应用方式
ModelSim编译仿真库
作者:张成宇
1.确定没有打开的工程
先把工程关闭
2.创建新的库
ModelSim开启以后就会在一个工作目录下运行。 假如当前工作目录为”E:/workspace”,则图2所创建的vivado_glbl实际是创建了” E:/workspace/ vivado_glbl”。 这次使用图3的方式,直接输入路径。(不

ModelSimSE中建立Xilinx仿真库

ModelSimSE中建立Xilinx仿真库

ModelSim SE中建立Xilinx仿真库版本说明:ModelSim SE 6.5,Xilinx 10.1(Xilinx 10.1要安装ModelSim SE 6.3c以上的版本)目录1 ModelSim不同的版本比较 (1)2 ModelSim SE中Xilinx仿真库的建立步骤: (2)2.1 为modelsim生成3个库 (2)2.2 DOS下输入命令法 (6)3 关联Xilinx和ModelSim (6)1 ModelSim不同的版本比较ModelSim分几种不同的版本:SE、PE、LE和OEM,其中SE是最高级的版本而集成在Actel、Atmel、Altera、Xilinx以及Lattice等FPGA厂商设计工具中的均是其OEM版本。

SE版和OEM版在功能和性能方面有较大差别,比如对于大家都关心的仿真速度问题,以Xilinx公司提供的OEM版本ModelSim XE为例,对于代码少于40000行的设计,ModelSim SE 比ModelSim XE要快10倍;对于代码超过40000行的设计,ModelSim SE要比ModelSim XE快近40倍。

以下列表介绍了OEM版本(以Xilinx公司提供的ModelSim XE版本为例)与ModelSim SE版本之间的差异。

ModelSim SE支持PC、UNIX和LINUX混合平台;提供全面完善以及高性能的验证功能;全面支持业界广泛的标准;Mentor Graphics公司提供业界最好的技术支持与服务。

ModelSim版本功能与性能差异技术差异 ModelSim SE ModelSim XE II单一语言支持 支持 支持im_ver,Unisim_ver,Xilinxcorelib_ver这三个库的,可以采用2.1的方法。

2.2介绍了一种更加简单的方法。

提醒:无论是安装文件的路径还是库的保存路径,都不能含有空格,如不能安装在“p p”的路径下。

小只推荐:详细讲解Xilinx+ModelSim的FPGA仿真

小只推荐:详细讲解Xilinx+ModelSim的FPGA仿真

小只推荐:详细讲解Xilinx+ModelSim的FPGA仿

本文主要概括一下,如何针对Xilinx+ModelSim进行FPGA的仿真设计。

 1. xHDL仿真器
 常用的硬件描述语言的仿真器有很多种,例如,VCS,Ncsim,Affirima,Verilog-XL,SpeedWave,Finisim和ModelSim。

个人认为比较流行的就是ModelSim和Ncsim,像opencores提供的源码大部分都含有Ncsim仿真支持,或者ModelSim仿真支持。

 1.1 ModelSim简介
 ModelSim仿真器在FPGA/CPLD设计中的使用得到了广泛的应用,这是因为Model Technology公司为各个FPGA/CPLD厂家都提供了OEM版本的ModelSim工具。

ModelSim仿真原理是基于事件驱动的,它可支持Verilog
和VHDL语言的的单独仿真与混合仿真。

 1.2 ModelSim版本
 ModelSIm仿真工具有许多版本,首先就是他的版本号很多,这里不多介绍,因为它无非就是软件性能,功能的升级。

这里主要是要说明ModelSim PE/LE/SE之间的区别:
 为了满足不同用户的需要,ModelSim每个版本号都可以分为PE,LE和SE等类别。

其中SE功能是比较完善的,但是它有一个特点就是,在进行仿真前,要首先编译相应的仿真库(通过设置,也可以一老永逸),后面会专门介绍。

 在进行Xilinx FPGA产品开发时,我们有时会遇到ModelSim XE版本,这。

xilinx_ise+model_sim四种仿真

xilinx_ise+model_sim四种仿真

四种Xilinx_ise and Model_sim 仿真1、首先新建一个测试文件,选择Verilog Test Fixture,填入file name 如下:2、添加系统时钟(clk)激励,如下灰色部分,然后点击保存即可。

3、行为仿真(behavioral)选中测试文件,然后再双击下面Simulate Behavioral Model,等待就可以进入ModelSim仿真软件界面4、转换之后的仿真(Post-Translate)(1)首先要生成仿真模型,返回Implementation模式。

双击Implement Design ->Translate -> Generate Post-Translate Simulation Model(2)返回Simulation模式,选中测试文件,双击下面的Simulate Post-Translate Model,就可以进入Post-Translate仿真测试转换之后的仿真描述的是RTL级别的仿真。

从中可以看出数据更新和clk信号有延迟,这也是和行为仿真的区别5、映射之后的仿真(Post-Map)(1)首先要生成仿真模型,返回Implementation模式双击Implement -> Map -> Generate Post-Map SimulationModel(2)返回Simulation模式,选中测试文件,双击下面的Simulate Post-Map Model,就可以进入Post-Map仿真测试(3)Post-Map 仿真测试波形映射之后的仿真能够反映出来器件的工艺和器件之间的一个延时,比上一个转换之后的仿真(Post-Translate)延时更长6、布局布线后仿真(Post-Routes)(1)首先要生成仿真模型,返回Implementation模式双击Implement ->Place & Route -> GeneratePost-Place & Route Simulation Model(2)返回Simulation模式,选中测试文件,双击下面的SimulatePost-Place & Route Model,就可以进入Post-Place & Route仿真测试(3)仿真波形数据有一段时间不稳定,从开始变换到数据稳定,所需时间又比映射之后的仿真(Post-Map)用的时间长。

在ModelSim中添加Xilinx仿真库

在ModelSim中添加Xilinx仿真库

在ModelSim中添加Xilinx仿真库说明:l ModelSim一定要安装在不带空格的目录下,即不要安装在“Program Files”目录下。

如作者是安装在D:\softwares\Modelsim 目录下。

l ISE软件也最好安装在不带空格的目录下。

1、找到开始菜单->程序->Xilinx ISE Design Suite 11 -> ISE -> Accessories -> Simulation Library Compilation Wizard.2、选定ModelSim的版本,以及指定ModelSim的安装路径。

3、选择Both VHDL and Verilog。

4、选择支持哪些系列的芯片,看自己需要增减。

5、默认选全上即可。

6、指定编译完后的库存放位置,这里作者在modelsim安装目录下新建了xilinx_lib的文件夹,并指定到这里。

(注意不要指向带空格的路径)7、运行 Lauch Compile Process,即开始编译库文件,需要几十分钟。

编译完成后应该在xilinx_lib目录下多出如下文件夹:8、右键打开modelsim目录下的modelsim.ini文件,先将其“只读”属性去掉。

然后用记事本打开。

在[Library]下面添加如下代码,即之前编译好的Xilinx库的路径。

unimacro = D:/softwares/modelsim/xilinx_lib/unimacrounimacro_ver = D:/softwares/modelsim/xilinx_lib/unimacro_verunisim = D:/softwares/modelsim/xilinx_lib/unisimunisims_ver = D:/softwares/modelsim/xilinx_lib/unisims_ver secureip = D:\softwares\modelsim ilinx_lib/secureipxilinxcorelib = D:/softwares/modelsim/xilinx_lib/xilinxcorelib xilinxcorelib_ver = D:/softwares/modelsim/xilinx_lib/xilinxcorelib_versimprim = D:/softwares/modelsim/xilinx_lib/simprimsimprims_ver = D:/softwares/modelsim/xilinx_lib/simprims_ver9、再次打开ModelSim,即可以看到Xilinx的库已经默认出现在了库列表里。

在modelsim中添加xilinx仿真库

在modelsim中添加xilinx仿真库

在modelsim中添加xilinx仿真库
在xilinx安装目录下E:\Xilinx\14。

6\ISE_DS\ISE\bin\nt找到compxlibgui。

exe,如下图所示。

双击运行后,如下图所示。

(选择Modelsim SE)
点击Next之后,如下图所示。

(建议选择Both VHDL and Verilog,但编译时间比较长)点击Next之后,如下图所示。

勾选你将需要用到的器件类型,默认为全选。

点击Next之后,如下图所示.选择你要编译的库,默认为全选。

点击Next之后,如下图所示。

点击右侧的Browse可以选择编译后存放的位置.(建议在modelsim安装目录下新建一个“xilinx”文件夹,并指向该路径)
点击Launch Compile Process,等待几十分钟就可以了.
在modelsim安装目录下E:\modeltech_10.0c找到modelsim.ini,如下图所示. 右击,点击“属性”,如下图所示。

将“只读”前面的勾去掉。

打开modelsim.ini,如下图所示,在“Library”下面输入如红色方框内的内容. 其中,等式后面为存放路径,每个人未必一致。

最后,将modelsim.ini的只读属性勾回去。

这样,全部工作就完成了。

关于为Modelsim编译xilinx和altera元件库的那些事

关于为Modelsim编译xilinx和altera元件库的那些事

关于为Modelsim编译xilinx和altera 元件库的那些事(绝对是最简单的方法)对于quartus 和ise来说,如果用modelsim来仿真的话,需要编译quartus和ise的几个库,网上的方法大多比较繁琐,难以试用不熟悉modelsim的初学者。

小弟意外的发现,原来,quartus和ise 本身便带有编译库的工具,我们只需轻点几下鼠标,便可编译完所有的库,而且生成的都是标准库,以后modelsim都会自动调用,简直太方便了。

1 编译生成altera的标准库1.1 在开始菜单中altera的文件夹中启动qurtus的simulation library compiler.如下图所示。

1.2 按下图所示进行设置1.Tool name选择 modelsim;2.executable location选择modelsim的执行路径;3将available families 从左侧全部移入右侧;4 output directory即生成的库存放的位置,自己随便建个文件夹,然后选中这个文件夹的位置即可接着点击start compilation,剩下的只是耐心等待了——OK,搞定。

2 编译生成xilinx的标准库这里的步骤和生成altera的标准库极其一致,相信我不用说,聪明的你们一定也明白了。

2.1 在开始菜单中xilinx ise design suite 的文件夹中按下图所示启动simulation library compilation wizard。

2.2 Ise软件做的更加智能,所有设置都已默认设好,我们只需一路点击next即可。

不再截图3 小结至此无论你是用ise还是quartus,当你调用了IP Core时再也不用为了仿真而焦头烂额的去一遍一遍的去编译它们的库文件了,因为上述方法生成的是标准库,从此便一劳永逸了。

打开modelsim后你会惊奇的发现library那一栏里多了好多库,整个屏幕都无法完全显示,如下图所示。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

标题:models im中指定xilin x的仿真库方法
2010-05-05 21:58:46
方法1:批命令
A、开始-运行:cmd 在DOS窗口输入:“ compxlib –s mti_se –f all –l all –o c:\Modeltech _6.5d\xilinx_libs –p c:\Modeltech _6.5d\win32 ”
c:\Modeltech _6.5d是modelsim的安装目录。

整个运行时间会很长。

B、库编辑成功后,在安装目录下的modelsim.ini文件中library中加入:Xilinx_CoreLib = C:\modeltech_6.5\xilinx_libs\XilinxCoreLib
Xilinx_unisim = C:\modeltech_6.5\xilinx_libs\unisim
Xilinx_simprim = C:\modeltech_6.5\xilinx_libs\simprim
注意改掉只读属性。

关掉工程,重启modelsim
方法2、Xilinx ISE中有个工具直接转换就OK。

Windows开始菜单-ise-accesserise-Simulation library compilation wizard,图
形界面,指定编译后库地址,可以选择自己所需要的库。

其他与上面一致。

方法3、手动方法:
modelsim生成3个库。

首先,介绍一下这三个库。

Simprim_ver:用于布局布线后的仿真。

Unisim_ver :如果要做综合后的仿真,还要编译这个库。

Xilinxcorelib_ver:如果设计中调用了CoreGen产生的核,则还需要编译这个库。

我们要为modelsim生成的是标准库。

所谓的标准库就是modelsim运行后,会自动加载的库。

不过这方面我还不是很肯定。

因为我在后仿真时,还是要为仿真指定库的路径,不然modelsim找不到。

第一步:在modelsim环境下,新建工程,工程的路径与你想把库存储的路径一致。

第二步:新建库,库名起作simprim_ver。

我们首先就是要建的就是这个库。

第三步:在modelsim的命令栏上,打下如下命令:vlog -work simprim_ver d:/Xilinx/verilog/src/simprims/*.v 其中的d:/Xilinx 是我的Xilinx的安装路径,你把这个改成你的就行了。

以下凡是要根据自己系统环境改变的内容,我都会用绿色标出,并加一个下划线。

编译完之后,你会发现你的工程文件夹下出现了一个simprim文件夹,里面又有很多个文件夹。

这些就是我们要的库了。

第四步:按照上面的方法,编译另外两个库。

所需要键入的命令分别如下:vlog -work unisim_ver d:/Xilinx/verilog/src/unisims/*.v vlog -work xilinxcorelib_ver d:/Xilinx/verilog/src/XilinxCoreLib/*.v 如果你想要编译的是VHDL的库,你需要建立的库分别是simprim,unisim和xilinxcorelib。

这三个库所需要的modelsim指令分别如下:vcom –work simprim
d:Xilinx/VHDL/src/simprims/simprim_Vcomponents.vhd vcom –work simprim
d:Xilinx/VHDL/src/simprims/simprim_Vpackage.vhd vcom –work simprim
d:Xilinx/VHDL/src/simprims/simprim_VITAL.vhd vcom –work unisim
d:Xilinx/VHDL/src/unisims/unisim_VCOMP.vhd vcom –work unisim
d:Xilinx/VHDL/src/unisims/unisim_VPKG.vhd vcom –work unisim
d:Xilinx/VHDL/src/unisims/unisim_VITAL.vhd vcom –work unisim
d:Xilinx/VHDL/src/unisims/unisim_VCFG4K.vhd vcom –work xilinxcorelib
d:Xilinx/VHDL/src/ XilinxCoreLib/*.vhd 关于VHDL方面,我没有实践过,如果有误的话,改一下应该问题也不大。

第五步:把库建好后,接下来的事情就是使它成为modelsim的标准库。

这只要修改modelsim安装目录下的modelsim.ini文件就可以了。

修改后的内容如下:[Library] std = $MODEL_TECH/../std ieee = $MODEL_TECH/../ieee verilog = $MODEL_TECH/../verilog vital2000 = $MODEL_TECH/../vital2000 std_developerskit =
$MODEL_TECH/../std_developerskit synopsys = $MODEL_TECH/../synopsys modelsim_lib = $MODEL_TECH/../modelsim_lib
simprim_ver = G:/EDA/Xilinx/simprim_ver(库的路径,以下同)
unisim_ver = G:/EDA/Xilinx/unisim_ver
xilinxcorelib_ver = G:/EDA/Xilinx/xilinxcorelib_ver
注意的是,这个文件是只读属性。

修改之前要把这个属性去掉。

第六步:关掉工程,重启modelsim。

查看这3个库是否在library框里面。

如果你看到了,那么恭喜!至此,就可以用ISE直接调用Modelsim做仿真了。

相关文档
最新文档