数字电压表设计报告

合集下载

单片机课程设计报告报告——数字电压表

单片机课程设计报告报告——数字电压表

数字电压表单片机课程设计报告班级:姓名:学号:指导教师:2011 年3 月29 日数字电压表电路设计报告一、题目及设计要求采用51系列单片机和ADC 设计一个数字电压表,输入为0~5V 线性模拟信号,输出通过LED 显示,要求显示两位小数。

二、主要技术指标1、数字芯片A/D 转换技术2、单片机控制的数码管显示技术3、单片机的数据处理技术三、方案论证及选择主要设计方框图如下:1、主控芯片方案1:选用专用转化芯片INC7107实现电压的测量和实现,用四位数码管显示出最后的转换电压结果。

缺点是京都比拟低,内部电压转换和控制局部不可控制。

优点是价格低廉。

方案2:选用单片机AT89C51和A/D 转换芯片ADC0809实现电压的转换和控制,用四位数码管显示出最后的转换电压结果。

缺点是价格稍贵;优点是转换京都高,且转换的过程和控制、显示局部可以控制。

基于课程设计的要求和实验室能提供的芯片,我选用了:方案2。

2、显示局部方案1:选用4个单体的共阴极数码管。

优点是价格比拟廉价;缺点是焊接时比拟麻烦,容易出错。

方案2:选用一个四联的共阴极数码管,外加四个三极管驱动。

这个电路几乎没有缺点;优点是便于控制,价格低廉,焊接简单。

基于课程设计的要求和实验室所能提供的仪器,我选用了:方案2。

四、电路设计原理模拟电压经过档位切换到不同的分压电路筛减后,经隔离干扰送到A/D转换器进展A/D转换。

然后送到单片机中进展数据处理。

处理后的数据送到LED 中显示。

同时通过串行通讯与上位通信。

硬件电路及软件程序。

而硬件电路又大体可分为A/D转换电路、LED显示电路,各局部电路的设计及原理将会在硬件电路设计局部详细介绍;程序的设计使用汇编语言编程,利用Keil和PROTEUS 软件对其编译和仿真。

一般I/O接口芯片的驱动能力是很有限的,在LED显示器接口电路中,输出口所能提供的驱动电流一般是不够的尤其是设计中需要用到多位LED,此时就需要增加LED驱动电路。

数字电压表设计报告

数字电压表设计报告

一、课程内容介绍:数字电压表是用来测量信号电压的装置。

它可以测量正弦波、方波、三角波和尖脉冲信号的电压。

在进行模拟、数字电路的设计、安装、调试过程中,经常要用到数字电压表。

本设计是设计一个三位直流数字电压表。

由于其用十进制数显示,测量迅速、精度高、显示直观,一次数字电压表得到广泛的使用。

二、总体设计1、实验目的设计制作一个具有数字显示功能的数字电压表。

该数字电压表能对日常电子线路中的电压进行方便的测量。

2、实验设计要求与内容1) 本设计要求从测试端输入0-51V的电压,经90K和10K电阻分压,送ADC0804输入端,所以实际输入电压是测试端的十分之一。

经89C2051处理,在D3、D2、D1三个七段显示。

2) 本电路ADC0804最大转换值为0FFH(255),对应输入电压是5.1V,对应测试端电压(显示电压)51V。

3) 若测试端输入为4V,实际进入ADC0804为0.4Va) 经A/D转换后为14Hb) 14H经十进制转换后为0020,则令R4=00,R5=20c) 将0020*2=0040,令R4=00,R5=40d) 将数字点设在D2上,D4 D3 D2 D1分别显示为0 0 4 04) 本电路省略D4,只显示D3 D2 D15)总体设计框图:3、实验技术指标1) 被测量信号电压范围:0-51V2) 测量精度:测量显示3为有效数字3) 分辨率:5.1V/2^8注意:在画PCB的时候要注意将晶振,即Y1,C4,C5,一起布置在芯片AT89C2051旁边,还有电容C2,C3也要靠近芯片AT89C2051,这样才能有效显示结果。

4、设计提示1) 本设计要求从测试端输入0-51V的电压,经90K和10K电阻分压,送ADC0804输入端,所以实际输入电压是测试端的十分之一。

经89C2051处理,在D3、D2、D1三个七段显示器显示。

2)本电路ADC0804最大转换值=0FFH(255),对应输入电压是5.1V,对应测试端电压(显示电压)是51V。

数字电压表实验报告

数字电压表实验报告

简易数字电压表设计报告姓名:***班级:自动化1202学号:****************:***2014年11月26日一.设计题目采用C8051F360单片机最小系统设计一个简易数字电压表,实现对0~3.3V 直流电压的测量。

二.设计原理模拟输入电压通过实验板PR3电位器产生,A/D转换器将模拟电压转换成数字量,并用十进制的形式在LCD上显示。

用一根杜邦实验线将J8口的0~3.3V输出插针与J7口的P2.0插针相连。

注意A/D转换器模拟输入电压的范围取决于其所选择的参考电压,如果A/D 转换器选择内部参考电压源,其模拟电压的范围0~2.4V,如果选择外部电源作为参考电压,则其模拟输入电压范围为0~3.3V。

原理框图如图1所示。

图1 简易数字电压表实验原理框图三.设计方案1.设计流程图如图2所示。

图2 简易数字电压表设计A/D转换和计时流程图2.实验板连接图如图3所示。

图3 简易数字电压表设计实验板接线图3.设计步骤(1)编写C8051F360和LCD初始化程序。

(2)AD转换方式选用逐次逼近型,A/D转换完成后得到10位数据的高低字节分别存放在寄存器ADCOH和ADC0L中,此处选择右对齐,转换时针为2MH Z。

(3)选择内部参考电压2.4V为基准电压(在实际单片机调试中改为3.311V),正端接P2.0,负端接地。

四、测试结果在0V~3.3V中取10组测试数据,每组间隔约为0.3V左右,实验数据如表1所示:显示电压(V)0.206 0.504 0.805 1.054 1.406实际电压(v)0.210 0.510 0.812 1.061 1.414相对误差(%) 1.905 1.176 0.862 0.659 0.565显示电压(V) 2.050 2.383 2.652 2.935 3.246实际电压(v) 2.061 2.391 2.660 2.943 3.253相对误差(%)0.421 0.334 0.301 0.272 0.215表1 简易数字电压表设计实验数据(注:其中显示电压指LCD显示值,实际电压指高精度电压表测量值)五.设计结论1.LCD显示模块的CPLD部分由FPGA充当,芯片本身自带程序,所以这个部分不用再通过quartus软件进行编程。

数字电压表设计报告(终结版)

数字电压表设计报告(终结版)
关键词:MSC-51ADC0809 数字电压表 数模转换LCD
1.
1.1
数字电压表(Digital Voltmeter)简称DVM,目前采用单片机设计的数字电压表,由于精度高、抗干扰能力强、可扩展性强、集成方便,还可以与PC进行实时通信,所以以下方案均采用单片机设计。
1.1.1 方案设计
方案一:基于MSP430F448单片机的数字电压表设计。MSP430F44x系列单片机片内集成了8路12位A/D、串行通信接口、看门狗定时器、比较器、硬件乘法器等外围设备模块,从而降低了应用电路的复杂程度,提高了系统的可靠性。该芯片可以工作于2.5V和3.3V两种电压下,其功耗非常低。
数字电压表
摘要
在电子器件设计中,以单片机作为控制核心的系统得到了广泛的应用,尤其以MCS-51最为普遍。而数字电压表的基本原理是对直流电压进行模数转换,并将其结果用数字直接显示出来。为以单片机为控制核心实现数字电压表的设计,结合了模数转换技术,段码显示以及液晶显示,并结合ADC0809芯片及74HC573,进而实现了对5V以内的直流电压的准确测量,并在数码管以及液晶显示屏上同时显示。并进一步扩展,实现了最多可以对八路电压同时进行测量。而且对于超出测量范围的电压能够以LED灯的闪烁实现报警。
图1-2-3AT89C51引脚图
本电路通过单片机定时器给ADC0809芯片提供500KHz的时钟信号,用P3口来控制ADC芯片的工作和输入电压通道的选取。将数模转换后的数据通过P0端口送入74HC573芯片锁存,再由P1端口控制将其数值分别显示在数码管和液晶屏上。
1.2.4 电压显示电路设计
(1)LED显示
图1-2-2 ADC0809内部结构框图及引脚图
本设计通过输入电路将8路输入电压送入ADC0809。并通过单片机P3端口控制实现模数转换,并将转换后的数字信号送入单片机的P2口。ADC0809芯片的时钟信号由单片机产生,送入芯片clock端口。芯片的基准电压和电源电压均由单片机学习板提供。

数字电压表设计002

数字电压表设计002

接口技术学生姓名:学号:学院:专业: 电子科学与技术题目: 数字电压表设计指导教师:数字电压表的设计一、设计概念资料1.数字电压表基本概念数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。

传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC进行实时通信。

目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统智能化测量领域,示出强大的生命力。

与此同时,由DVM 扩展而成各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。

2.数字电压表优缺点⑴显示清晰直观,读数准确,缩短读数和记录的时间。

新型数字电压表还增加了标志符显示功能,包括测量项目符号、单位符号和特殊符号。

⑵显示位数显示位数通常为3位~8位判定数字仪表的位数有两条原则:①能显示从0~9所有数字的位是整数值;②分数位的数值是以最大显示值中最高位数字为分子,用满量程时最高位数字做分母。

⑶准确度高。

准确度愈高,测量误差愈小。

数字电压表的准确度远优于模拟式电压表。

⑷分辨率高。

从设计DVM的角度看,分辨力应受准确度的制约,并与之相适应。

⑸测量范围宽。

多量程DVM一般可测0~1000V直流电压,配上高压探头还可测量上万伏的高压。

(6扩展能力强。

在数字电压表的基础上、还可扩展成各种通用及专用数字仪表、数字多用表(DMM)和智能仪器,以满足不同的需要。

⑺测量速率快。

数字电压表在每秒钟内对被测电压的测量次数叫测量速率,单位是“次/秒”。

它主要取决于A/D 转换器的转换速率,其倒数是测量周期。

⑻输入阻抗高。

数字电压表具有很高的输入阻抗,通常为10MΩ~10000MΩ,最高1TΩ。

在测量时从被测电路上吸取的电流极小,不会影响被测信号源的工作状态,减小由信号源内阻引起的测量误差。

数字电压表的综合设计报告

数字电压表的综合设计报告

数字电压表的综合设计一、设计任务1、使用状态机实现对模数转换芯片TLC549的采样控制,实现一个简易的电压表。

并将硬件验证结果与测量电压值进行比较。

二、整体设计1、本次设计是利用FPGA控制 TLC549,将 AD转换后的电压值读出,并显示在数码管。

模块一为TLC549主控制模块,模块二为bcd1即一位BCD码加减模块,模块三为lookup即A/D转换BCD码模块,模块四为scan_led数码显示模块。

然后将模块生成为相应器件,按照原理图连接,得出相应波形及数据。

由FPGA构成的ASIC的三部分电路(如结构示意图所示):(1)用有限状态机设计的A/D转换控制电路;(2)将8位数字量DB0-DB7转换成3位BCD码电压值的转换路;(3)3位LED显示器的译码显示电路;结构示意图2、原理框图3、模数转换-TLC549 ADC的介绍(1)TLC549各引脚功能如下:●ANALOG IN,模拟量输入端;●sclk,串行时钟输入端;●cs,芯片选择,低有效;●DATA OUT,数字量输出端;●GND,模拟接地;●REF+,基准电压输入端;●REF-,基准电压负端;●VCC,正电源电压端。

(2)TCL549的工作时序图当片选 CS为低电平时,串行输人数据才能被移入移位寄存器。

当 CS为低电平时,在每一个SCLK时钟的上升沿将DIN的一位数据移入移寄存器。

注意,二进制最高有效位被导前移入。

接着, CS的上升沿才将移位寄存器的数据锁存,供DAC电路进行转换;当片选CS为高电平时,串行输人数据不能被移入移位寄存器。

注意.CS的上升和下降都必须发生在SCLK为低电平期间。

三、模块设计模块一module tcl549c(clk,cs,sdata,clk_ad,reset,dataout);input clk,reset,sdata;output cs,clk_ad;output[7:0]dataout;reg cs,clk_ad_r,clk_r;reg[7:0]dataout,dataout_r;reg[7:0]count;reg[2:0]temp;reg[3:0]cnt;reg mark;reg flag;parameter [2:0]s0=0,s1=1,s2=2;reg[2:0]c_st;always@(posedge clk)begin if(count<119)count<=count+1;else begin clk_r<=~clk_r;count=0;endendalways@(posedge clk)begin clk_ad_r<=~clk_r;endassign clk_ad=clk_ad_r;always@(posedge clk_r or negedge reset)begin if(!reset) c_st<=s0;else case(c_st)s0:begin cs<=1;mark<=0;if(temp==3)begin temp<=0;c_st<=s1;endelse begin temp<=temp+1;c_st<=s0;endends1:begin cs<=0;mark<=1;c_st=s2;ends2:begin cs<=0;mark<=1;if(flag==1)c_st<=s0;else c_st<=s2;enddefault:c_st<=s0;endcaseendalways@(posedge clk_ad_r)beginif(mark==1)if(cnt==8)begin cnt<=0;flag<=1;endelse begin cnt<=cnt+1;flag<=0;endendalways@(posedge clk_ad_r)beginif(mark==1)if(flag==1)dataout<=dataout_r;else dataout_r={dataout_r[6:0],sdata};//串转并endendmodule模块二/*功能:一位BCD码加减法模块输入参数:标准时钟clk,被减数/加数dataa,减数/加数datab,低位来的借位/进位cin输出参数:向高位的借位/进位cout,差/和result*/module bcd1(dataa, datab,cin, cout, result);input [3:0] dataa;input [3:0] datab;input cin;output reg cout;output [3:0]result;reg [4:0]result_r;assign result=result_r;always @(*)beginresult_r = dataa + datab + cin; // 二进制加法if((result_r > 4'd9 )) //||((result_r == 4'd0)&&(dataa != 0))||((result == 4'd1)&&(dataa != 0)&&(result_ab == 4'd0))/*当结果大于9时,补6,当结果由不同时为0的加数相加得0时,补6*/ beginresult_r = result_r + 4'd6;cout = 1;endelsebeginresult_r = result_r;cout =0;endendendmodule模块三module lookup(V,q);input [7:0]V;output [11:0]q;reg [11:0]q;reg [11:0]HB,LB;wire d1,d2,d3;always@(V)begin case(V[7:4]) //--A/D值的高4位转换成3位BCD码4'b1111: HB<=12'b001001000000; //--2.404'b1110: HB<=12'b001000100100; //--2.244'b1101: HB<=12'b001000001000; //--2.084'b1100: HB<=12'b000110010010; //--1.924'b1011: HB<=12'b000101110110; //--1.764'b1010: HB<=12'b000101100000; //--1.604'b1001: HB<=12'b000101000100; //--1.444'b1000: HB<=12'b000100101000; //--1.284'b0111: HB<=12'b000100010010; //--1.124'b0110: HB<=12'b000010010110; // --0.964'b0101: HB<=12'b000010000000; // --0.804'b0100: HB<=12'b000001100100; //--0.644'b0011: HB<=12'b000001001000; //--0.484'b0010: HB<=12'b000000110010; //--0.324'b0001: HB<=12'b000000010110; //--0.164'b0000: HB<=12'b000000000000; // --0.00default: HB<=12'b111111111111;endcasecase(V[3:0]) //--A/D值低4位变为3位BCD码4'b1111: LB<=12'b000000010101; // --0.154'b1110: LB<=12'b000000010100; // --0.144'b1101: LB<=12'b000000010011; // --0.134'b1100: LB<=12'b000000010010; // --0.124'b1011: LB<=12'b000000010001; // --0.114'b1010: LB<=12'b000000010000; // --0.104'b1001: LB<=12'b000000001001; // --0.094'b1000: LB<=12'b000000001000; // --0.084'b0111: LB<=12'b000000000111; // --0.074'b0110: LB<=12'b000000000110; // --0.064'b0101: LB<=12'b000000000101; // --0.054'b0100: LB<=12'b000000000100; // --0.044'b0011: LB<=12'b000000000011; // --0.034'b0010: LB<=12'b000000000010; // --0.024'b0001: LB<=12'b000000000001; // --0.014'b0000: LB<=12'b000000000000; // --0.00default: LB<=12'b111111111111;endcaseendbcd1u1(.dataa(LB[3:0]),.datab(HB[3:0]),.result(q[3:0]),.cin(1'b0),.cout(d 1));bcd1u2(.dataa(LB[7:4]),.datab(HB[7:4]),.result(q[7:4]),.cin(d1),.cout(d2) );bcd1u3(.dataa(LB[11:8]),.datab(HB[11:8]),.result(q[11:8]),.cin(d2),.cout( d3));endmodule模块四module scan_led(clk_1k,d,dig,seg); //模块名scan_ledinput clk_1k; //输入时钟input[11:0] d; //输入要显示的数据output[7:0] dig; //数码管选择输出引脚output[7:0] seg; //数码管段输出引脚reg[7:0] seg_r; //定义数码管输出寄存器reg[7:0] dig_r; //定义数码管选择输出寄存器reg[3:0] disp_dat; //定义显示数据寄存器reg[2:0]count; //定义计数寄存器assign dig = dig_r; //输出数码管选择assign seg = seg_r; //输出数码管译码结果always @(posedge clk_1k) //定义上升沿触发进程beginif(count<2)count <= count + 1'b1;else count<=0;endalways @(posedge clk_1k)begincase(count) //选择扫描显示数据3'd0:disp_dat = d[11:8]; //第一个数码管3'd1:disp_dat = d[7:4]; //第二个数码管3'd2:disp_dat = d[3:0]; //第三个数码管endcasecase(count) //选择数码管显示位3'd0:dig_r = 8'b01111111; //选择第一个数码管显示3'd1:dig_r = 8'b10111111; //选择第二个数码管显示3'd2:dig_r = 8'b11011111; //选择第三个数码管显示endcasecase(count)3'd0:seg_r[7]=0;3'd1:seg_r[7]=1;3'd2:seg_r[7]=1;endcaseendalways @(disp_dat)begincase(disp_dat) //七段译码4'h0:seg_r[6:0] = 7'b1000000; //显示04'h1:seg_r[6:0] = 7'b1111001; //显示14'h2:seg_r[6:0] = 7'b0100100; //显示24'h3:seg_r[6:0] = 7'b0110000; //显示34'h4:seg_r[6:0] = 7'b0011001; //显示44'h5:seg_r[6:0] = 7'b0010010; //显示54'h6:seg_r[6:0] = 7'b0000010; //显示64'h7:seg_r[6:0] = 7'b1111000; //显示74'h8:seg_r[6:0] = 7'b0000000; //显示84'h9:seg_r[6:0] = 7'b0010000; //显示9 default:seg_r[6:0] = 7'b1111111;endcaseendendmodule仿真波形如下:四、结论调节SPOC实验平台上的相应按钮,使显示管出现不同的电压数值,同时使用万用表测出对应的电压数值。

数字电压表毕业设计

数字电压表毕业设计

毕业设计摘要:本文介绍的是数字电压表的发展背景和利用单片机,A/D转换芯片结合的方法设计一个直流数字电压表。

它的具体功能是:最高量程为200V,分三个档位量程,即2V,20V,200V,可以通过调档开关来实现各个档位。

当测得电压的数值小于1V时,系统会自动的将电压数值转换为以mV为电压单位的电压值。

并且通过按键的方法能够测得后五秒的平均电压值。

目录一.数字电压表简介: (3)二.数字电压设计两种方案简介: (4)1.由数字电路及芯片构建。

(4)2.由单片机系统及A/D转换芯片构建。

(5)三.单片机简介及本设计单片机的选择 (5)1.常用单片机的特点比较及本设计单片机的选择 (5)2.本设计使用的单片机的简介 (6)四.各种显示器件的介绍和选择 (7)1.常用显示器件简介 (7)2.1602液晶的参数资料 (8)五.模数(A/D)转换芯片的选择 (10)1.常用的A/D芯片简介 (10)2.模数(A/D)芯片TLC2543的资料 (11)六.总体设计 (13)七.硬件电路系统模块的设计 (14)八.系统软件的设计 (18)1.汇编语言和C语言的特点及选择 (18)2.主程序设计 (18)九.系统的调试 (29)1.硬件调试 (29)2.软件调试 (30)十.总结 (30)参考文献 (31)一.数字电压表简介:数字电压表出现在50年代初,60年代末发起来的电压测量仪表,简称DVM,它采用的是数字化测量技术,把连续的模拟量,也就是连续的电压值转变为不连续的数字量,加以数字处理然后再通过显示器件显示。

这种电子测量的仪表之所以出现,一方面是由于电子计算机的应用逐渐推广到系统的自动控制信实验研究的领域,提出了将各种被观察量或被控制量转换成数码的要求,即为了实时控制及数据处理的需要;另一方面,也是电子计算机的发展,带动了脉冲数字电路技术的进步,为数字化仪表的出现提供了条件。

所以,数字化测理仪表的产生与发展与电子计算机的发展是密切相关的;同时,为革新电子测量中的烦锁和陈旧方式也催促了它的飞速发展,如今,它又成为向智能化仪表发展的必要桥梁。

智能数字电压表设计实验报告

智能数字电压表设计实验报告

实验一智能数字电压表设计一、实验目的:1、掌握ADC0809芯片的性能和典型应用及对应的硬件电路。

2、掌握A/D转换芯片ADC0809将模拟量转换成数字量的过程与基本原理。

3、掌握了解A/D转换软件的不同控制方式。

4、掌握VB软件的基本使用方法以及其使用的编程语言。

二、实验内容:1、运用单片机编写程序,实现ADC0809的时序控制。

2、运用VB编写一个上位机显示界面。

3、实现对ADC0809器件的通道0上外接的可调电阻的模拟电压转换成数字量,通过所编写的VB界面显示出来。

4、根据题目要求,设计电路,并进行实际硬件安装与调试。

三、实验原理与设计(一)硬件部分1、ADC0809工作原理ADC 0809是CMOS器件,不仅包括一个8位的逐次逼近型的ADC部分,而且还提供一个8通道的模拟多路开关和通道寻址逻辑,因而把它作为简单的“数据采集系统”。

利用它可直接输入8个单端的模拟信号分时进行A/D转换,常运用于多点巡回检测和过程控制、运动控制中。

1) 主要技术指标和特性(1)分辨率:8位。

(2)总的不可调误差:ADC0808为±1/2 LSB,ADC 0809为±1 LSB。

(3)转换时间取决于芯片时钟频率,如CLK=500kHz时,TCONV=128μs。

(4)单一电源:+5V。

(5)模拟输入电压范围:单极性0~5V,双极性±5V、±10V(需外加一定电路)。

(6)具有可控三态输出缓存器。

(7)启动转换控制为脉冲式(正脉冲),上升沿使所有内部寄存器清零,下降沿使A/D转换开始。

(8)使用时不需进行零点和满刻度调节。

2) 内部结构和外部引脚介绍ADC0808/0809的内部结构如图1所示以及各引脚定义分述如下:图1 ADC0809内部结构框图(1)IN0~IN7——8路模拟输入,通过3根地址译码线ADDA、ADDB、ADDC来选通一路。

通道选择表如下:(2)D7~D0——A/D转换后的数据输出端,为三态可控输出,故可直接和微处理器数据线连接。

数字电压表设计报告

数字电压表设计报告

31/2数字电压表一.设计目的课程设计的主要目的是通过某一模拟、数字电路的综合设计,熟悉一般模拟、数字电路综合设计过程,设计要求,应完成的工作内容和具体的设计方法。

通过设计也有助于复习、巩固以往的学习内容,达到灵活应用的目的。

在设计完成后还要将设计的电路安装,调试以加强动手能力,在此过程中培养从事设计工作的整体观念。

课程设计以培养能力为主,在独立完成设计任务的同时注重多方面能力的培养与提高,主要包括一下几方面:1.独立工作能力和创造力;2.综合运用专业以及基础知识,解决实际工程技术问题的能力;3.查阅图书资料、产品手册和各种工具书的能力;4.工程绘图能力;5.写技术报告和编制技术资料的能力。

二.设计指标1.能测量0-1.999V、0-19.99V、0-199.9V值;2.三位半数码显示;3.测量交直流电压;4.使用元器件越少越好。

三.设计方案及选择讨论数字电压表的主要内容可归纳为电压测量的数字化方法。

其关键是如何把连续的随时间变化的模拟量转化为数字量。

5.电路总体框图如图1-3所示图1-3 电路总体框图此方案所用器材:⒈数字逻辑试验箱万用表、直流电压源、双踪示波器、配线安装工具⒊集成电路及元器件的名称、型号及数量。

见表1-1:序号名称 型号 数量 1 双积分单片ADC MC14433 1块 2 BCD 七段译码器驱动器 CD4511 1块 3 达林顿反相驱动器 MC1413 1块 4 LED 七段显示数码管LG5011AH4只 5电阻、电容若干四、 单元电路设计⒈ 桥式整流电路:整流电路的任务是将交流点变换成直流电,完成这一任务主要是靠二极管单向导电作用,故二极管是构成整流电路的关键元件。

电路如图4-1-1:⒉ 量程控制电路:采用多量程选择的分压电阻网络,可按整机输入电阻为100M Ω标准经计算得4个分压电阻分别为9M Ω、900K Ω、90K Ω、10K Ω,可用四个双刀双掷开关进行控制切换,实现多量程扩展电压测量功能。

完整版数字电压表设计

完整版数字电压表设计

数字电压表设计作者:学号:指导老师:摘要一个测试结果稳定、准确的数字电压表,既能减少了使用者的工作量,又提高了测量的精准度,而且人为误差被大大减小,方便与电路打交道的人快速有效的完成自己的工作。

本项目设计并实现了一个能够对0-200V 范围的直流电压进行测量的数字电压表,测量分为4挡:200mV 2V、20V和200V手动控制档位选择,显示部分小数点自动实现切换。

项目基于AT89C51单片机,拓展AD转换、显示部分。

不同档位的待测电压通过不同档位的衰减电路后变为0-200mV再通过一个0PA336一致放大到0-2V送入AD的输入端,然后通过芯片AT89C5呐的程序控制AD转换并输出。

不同档位的电压信号又不同的程序控制输出到数码管显示。

整个电路连线简单易于实现,而且成本很低,测出的电压精度也足够满足需求。

关键字:数字电压表;AT89C51 单片机;易于实现AbstractA digital voltmeter which is stable and accurate can not only reducethe work of the user, but also free off the error produced by using wrong. It is convenient to people who work with the circuit.This voltmeter is designed to measure a voltage between 0 to 200. It into four gears as 200 millivolt, 2 volt, 20volt, and 200volt. Gears changing is worked by hang. The project is base on the chip AT89C51 of one-chipcomputer. An analog to digital converter, a display section, and a voltage attenuation are attached to the chip and they make up the design. Thevoltage of different gears are changed into 0 -200 millivolt. Then they are sent to an OPA336, and it ' s output is- 20 volt. The output is sent to the analog to digital converter.Then the chip control the analog to digital converter section.key words: digital voltmeter, one -chip computer, AT89C51s divided ' s output to the displaying The whole circuit is easy. Andalthough it outcome is fine.' s cost is very low, the accuracy of the、项目概述数字电压表(Digital Voltmeter )简称DVM它是利用模拟/数字变换器(A/D)原理,以十进制数字形式显示被测电压值的仪表。

数字电压表的设计毕业设计

数字电压表的设计毕业设计
数字电压表的应用在很多领域,有非常好的应用前景。对数字电压表进行研究很有必要性。这对我们研究单片机技术是很有帮助的。
关键词:AT89C51;ADC0808;电压测量;A/D转换
Abstract
In electronic applications, industrial automation instruments have a very wide range of applications. This design of a digital voltmeter to AT89C51 microcontroller as the main control device, use it ADC0808 analog signals into digital signals and display them circuit. Its design includes hardware and system design program in two parts. The hardware circuit design module is the smallest single-chip design A / D converter module and display module, system programming is through the first AT89C51 SCM system initialization, by ADC0808 converter chip to convert analog to digital, and finally through a digital display data. Measuring range designed digital voltmeter is 200mv-10v, DC voltage measurement. The circuit is powerful, alarm system, control measuring range, digital display and high precision, scalability and other advantages.

数字电压表课程设计报告

数字电压表课程设计报告

数字电压表课程设计报告一、实验目的本实验旨在使学生掌握数字电压表的基本原理、构成和使用方法,通过实践锻炼学生的动手操作能力和实际问题解决能力。

二、实验器材数字电压表、直流稳压电源、电阻箱、待测电路板等。

三、实验内容1.数字电压表的基本原理、构成和使用方法的介绍;2.根据实验要求搭建待测电路;3.调节直流稳压电源输出电压为所需值;4.连接数字电压表到待测电路上并测量电压值;5.对测得的电压值进行分析、处理和讨论。

四、实验流程及步骤1.实验器材准备:数字电压表、直流稳压电源、电阻箱、待测电路板等器材;2.理解数字电压表的基本原理与构成,并熟练掌握使用方法;3.根据实验所需,找到相应的电路板,搭建待测电路,并连接好直流稳压电源;4.调节直流稳压电源的输出电压为所需值,并连接数字电压表到待测电路上;5.测量待测电路的电压值,并在数字电压表上进行记录;6.对测得的电压值进行分析、处理和讨论,并得出实验结论。

五、实验注意事项1.在操作实验器材时,务必严格按照使用说明书和教师的要求进行操作;2.实验器材保持完好无损,任何破损的器材均不能使用;3.实验前需仔细了解实验内容,规划实验流程;4.在操作实验时,要认真记录实验数据,并进行及时分析处理;5.实验结束后,将实验器材妥善归位,保持实验室整洁干净。

六、实验结果及结论通过实验,我们得到了待测电路的电压值,并对其进行了分析、处理和讨论。

根据实验结果和所给数据,我们得出了结论:数字电压表可准确测量待测电路的电压值,为后续研究和实践提供重要依据。

七、实验心得体会通过本次实验,我对数字电压表的原理及其使用方法有了更深入的了解,并通过实践掌握了一定的动手操作能力和实际问题解决能力。

同时,我认识到在实验中必须注重细节和注意安全,仔细完成每一个实验步骤,及时记录和分析实验数据,才能使实验结果更加准确和可靠。

毕业设计 数字电压表的设计

毕业设计 数字电压表的设计

目录1引言 (1)1.1设计背景 (1)1.2设计目的 (1)1.3设计要求及功能 (1)2总体设计 (3)2.1各模块方案选择 (3)2.2总体设计框图 (3)3系统硬件电路设计 (5)3.1单片机芯片AT89S52 (5)3.1.1主要特性 (5)3.1.2引脚说明 (6)3.1.3振荡器特性 (8)3.1.4芯片擦除 (8)3.2A/D转换模块设计 (8)3.2.1 ADC0809简介 (8)3.2.2引脚说明 (9)3.2.3 ADC0809A/D工作原理 (10)3.3显示电路设计 (11)3.4时钟电路设计 (12)3.5复位电路设计 (13)3.6通道选择电路设计 (13)4软件设计 (15)4.1主程序设计 (15)4.2各子程序设计 (16)4.2.1A/D转换子程序 (16)4.2.2显示子程序 (16)4.2.3按键子程序 (17)5结束语 (19)参考文献 (20)附录A 总电路图 (21)附录B PCB图 (22)附录C 程序 (23)致谢 (27)摘要本设计中的数字电压表主要利用AT89S52单片机进行电压的测量控制,采用ADC0809转换器对输入的电压值进行转换,通过单片机扫描按键来控ADC0809的通道选择,分时测量8路模拟电压值,并处理转换后的数字电压值,然后送给LED数码管动态显示,并同时显示通道值。

实现了对0~5V的8路输入电压值的高精度测量和数字化显示。

关键词:数字电压表;AT89S52;ADC0809;数码管AbstractThis design digital voltmeter for voltage measurement and control uses AT89S52 microcontroller, using ADC0809 converter to convert the value of the input voltage, controlled by the microcontroller scan button ADC0809 channel selection, measures 8-channel analog voltage value of time-sharing, and processes the digital voltage value after the conversion, and then sents to the LED digital tube dynamic display, and at the same time to displays the channel value. Input voltage values of 0~5V, 8-channel high-precision measurement and digitization.Key words: digital voltmeter;AT89S52;ADC0809;digital tube1引言1.1设计背景数字电压表(Digital V oltmeter)简称DVM,它是在50年代初,60年代末发起来的电压测量仪表。

数字电压表课设报告

数字电压表课设报告

单片机原理及系统课程设计专业:电气工程及其自动化班级:电气1103姓名:张飞学号:201109347指导教师:董昱兰州交通大学自动化与电气工程学院2014 年 1 月 13 日基于单片机的数字电压表设计1 设计题目本次单片机课程设计的题目是基于单片机的数字电压表设计,基本要求是利用ADC0808测量电路电压,并通过数码管显示一位小数,能输出0~5V电压。

扩展要求是能使ADC0808能测量-5V~+5V电压,并将基本要求中测量电路的输出范围扩展成-5V~+5V,精确到两位小数。

2设计目的随着微电子技术的不断发展,微处理器芯片的集成程度越来越高,单片机已可以在一块芯片上同时集成CPU、存储器、定时器/计数电路,这就很容易将计算机技术与测量控制技术结合,组成智能化测量控制系统。

数字电压表(DigitalVoltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。

与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。

由各种单片A/D转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力理。

本设计AT89C51单片机的一种电压测量电路,该电路采用ADC0808A/D转换电路,测量范围直流正负5V的单路输入电压值,并在四位LED数码管上显示。

测量最小分辨率为0.019V,测量误差约为正负0.02V。

3设计方案及原理3.1 设计总体方案根据设计要求,选择AT89C51单片机为核心控制器件。

A/D转换采用ADC0808实现,与单片机的接口为P1口和P2口的高四位引脚。

电压显示采用4位一体的LED数码管。

并行端口P0产生LED数码的段码:并行端口P2高四位产生位码。

数字电压表主要设计分为测量部分和显示部分两大部分,测量部分主要实现电压的采集,显示部分结合程序便可以在数码管上实现正确显示。

数字电压表课设报告

数字电压表课设报告

附录:程序及仿真图1.程序如下:#include <lcd.h>sbit P2_0=P2^0;sbit ST=P3^6; //启动控制端sbit OE=P3^7; //输出允许控制端sbit EOC=P3^3; //转换结束检测code char CgramData0[]={0x08,0x0f,0x12,0x0f,0x0a,0x1f,0x02,0x02}; //年code char CgramData1[]={0x0f,0x09,0x0f,0x09,0x0f,0x09,0x11,0x00}; //月code char CgramData2[]={0x1f,0x11,0x11,0x1f,0x11,0x11,0x1f,0x00}; //日code char CgramData3[]={0x00,0x04,0x1f,0x0a,0x04,0x0a,0x11,0x00}; //刘code char CgramData4[]={0x00,0x04,0x14,0x14,0x14,0x04,0x0c,0x00}; // code char CgramData5[]={0x08,0x1e,0x1a,0x16,0x1a,0x16,0x1e,0x00}; //自code char CgramData6[]={0x04,0x04,0x1F,0x0E,0x15,0x1F,0x04,0x00}; //本unsigned char Y[6],getdata1=0,i,j;unsigned int getdata=0;uchar Voltage[]="Voltage=1.541";uchar zifu[]="liuchangyin";uchar zifu1[]="Number:200501167";unsigned int k=0;unsigned long V;unsigned char count=0; //定时用bit biaozhi=0; //动态换屏用void delay10us()//延时,增加显示稳定性{ for(i=0;i<10;i++);}void xianshi() //显示函数{V=getdata*500000/255;for(i=0;i<6;i++)//LCD显示数操作{Y[i]=V%10;V/=10;}//LCD1602显示Voltage[8]=Y[5]+48;Voltage[9]='.';Voltage[10]=Y[4]+48;Voltage[11]=Y[3]+48;Voltage[12]=Y[2]+48;Voltage[13]='\0';display_str(0x40+0x80,Voltage);delay10us();getdata=0;}void main(void){lcd_init();display_str(0x80,zifu); //第一行显示字符串P2_0=0; //P2_0一直为低,便于用P3_6和P3_7控制启动AD和读取数据 OE=1; //不允许读取数据EX1=1; //外部中断1使能IT1=1; //外部中断1为边沿触发TMOD=0x10; //定时器0选用方式1TH1=0x3C; //TL1=0xB0; //50msTR1=1; //启动T1计时ET1=1; //定时器0中断使能EA=1; //开中断P0=0xf8; //选择通道1delay10us();ST=1;ST=0;ST=1; //启动AD转换while(1){}}void t1(void) interrupt 3 using 0 //定时器1中断执行部分{count++;if(count==5){if(biaozhi==0) //显示:"自本10504 0501167"{write_cgram(0x40,CgramData5);display_cgram(0x80,0x00);write_cgram(0x48,CgramData6);display_cgram(0x81,0x01);display_str(0x82,"10504 0501167"); //第一行显示字符串 }if(biaozhi==1) //显示:"2008年07月10日刘"{display_str(0x80,"2008");write_cgram(0x60,CgramData0);display_cgram(0x84,0x04);display_str(0x85,"07");write_cgram(0x78,CgramData1);display_cgram(0x87,0x07);display_str(0x88,"10 ");write_cgram(0x40,CgramData2);display_cgram(0x8A,0x00);write_cgram(0x50,CgramData3);display_cgram(0x8C,0x02);write_cgram(0x58,CgramData4);display_cgram(0x8D,0x03);}biaozhi=~biaozhi;count=0;}TH1=0x3C; //TL1=0xB0; //50msTR1=1; //启动T1计时P1=~P1;}void int1(void) interrupt 2 using 0 //外部中断1执行部分{P0=0xff;OE=0; //传输数据使能getdata1=P0; //得到转换数据OE=1; //不允许传输数据getdata+=getdata1;//10次求和取平均值k++;if(k==10){ EX1=0; //关闭外部中断1getdata=getdata/10; //取平均值xianshi(); //利用LCD显示电压值k=0;EX1=1; //允许外部中断1}P0=0xf8;//选择通道1ST=1;ST=0;ST=1;//重新启动AD转换}//*************************************//lcd头文件//*************************************#include<reg52.h>#include<string.h>#include <absacc.h>#define lcd_data P0 //定义端口#define uchar unsigned char#define uint unsigned intsbit RS=P2^1;sbit RW=P2^2;sbit E=P2^3;sbit BF=P0^7;//***************************************//延时函数//***************************************void delay(uint i){while(i--);}//***************************************//写控制字//***************************************void write_struct(uchar temp){E=0;delay(100);//while(BF==1);RW=0;RS=0;lcd_data=temp;delay(3);E=1;delay(3);E=0;}//**************************************//写数据//**************************************void write_data(uchar temp){delay(100);//while(BF==1);E=0;RS=1;RW=0;lcd_data=temp;E=1;delay(3);E=0;}//***************************************//lcd初始化//***************************************void lcd_init(){write_struct(0x38); //设置接口DB宽度(8位)和LCM显示行数(2行) write_struct(0x08); //write_struct(0x01); //执行清屏操作write_struct(0x06); //设置光标为加1模式write_struct(0x0c); //设置光标为移位模式}//***************************************//向CGRAM写入数据//***************************************void write_cgram(unsigned char adress,unsigned char *p){char i;for(i=0;i<8;i++){ write_struct(adress+i);write_data(p[i]);}}//显示CGRAM数据//***************************************void display_cgram(unsigned char adress,unsigned char p) {write_struct(adress);write_data(p);}//显示字符//***************************************void display(char temp0,char *p){write_struct(temp0);delay(3);write_data(*p);}//****************************************//显示字符串//****************************************void display_str(char temp0,char *p){char i;write_struct(temp0);for(i=0;i<16;i++){if(*p=='\0')break;write_data(*p);p++;}}//****************************************//清屏//****************************************void lcd_clr() {write_struct(0x01); }//*****************************************************end2.仿真图附图1 初始化采集完成显示D 7D 6D 5D 4D 3D 2D 1D 0E R W R S V S S V D D V E E附图2 采集电压显示同时,第一行数据动态显示显示D 7D 6D 5D 4D 3D 2D 1D 0E R W R S V S S V D D V E E附图3 采集电压显示同时,第一行数据动态显示显示D 7D 6D 5D 4D 3D 2D 1D 0E R W R S V S S V D D V E E。

数字电压表课程设计报告

数字电压表课程设计报告
图1 8279的引脚图
(2)控制逻辑
控制与定时寄存器用以寄存键盘及显示器的工作方式,锁存操作命令,通过译码产生相应的控制信号,使8279的各个部件完成一定的控制功能。
定时控制含有一些计数器,其中有一个可编程的5位计数器,对外部输入时钟信号进行分频,产生100kHz的内部定时信号。外部时钟输入信号的周期不小于500ns。
湖南科技大学
信息与电气工程学院
课程设计报告
课 程单片机原理及应用
题 目:数字电压表
专 业:
班 级:
姓 名:
学 号:
任务书
题 目
时 间安排
目 的:
要 求:
总体方案实现:
指导教师评语:
评分等级:【 】
指导教师签名:
1数字电压表的概述
数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC进行实时通信。目前,由各种单片A/D转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。重点介绍单片A/D转换器以及由它们构成的基于单片机的数字电压表的工作原理。
3、系统结构框图
4
在本次课题设计中我们选择了8031芯片。8031和8051是最常见的mcs51系列单片机,是inter公司早期的成熟的单片机产品,应用范围涉及到各行各业,下面介绍一下它的引脚图等资料。

数字电路实验三:数字电压表(设计报告)

数字电路实验三:数字电压表(设计报告)

数字电路实验三:数字电压表(设计报告)数电实验3设计报告实验名称:数字电表实验目的:1.掌握组合逻辑与时序逻辑电路的设计方法及调试方法2.熟练掌握常用MSI逻辑芯片的功能及使用方法3.初步掌握Verilog HDL数字系统设计方法4.熟悉PLD实验箱的结构和使用及Quartus II软件的基本操作5.掌握采用Quartus II软件和实验箱设计实现逻辑电路的基本过程设计任务及要求:1、利用FPGA 与ADC0809 设计一个数字电压表,能够测量0-5V 之间的直流电压值,四位数码显示。

2、在实验电路板上焊接插座,将ADC0809 安装在插座上。

3、选择一路模拟量输入通道(如:IN0),经可调电位器送入0-5V 的直流电压。

4、ADC0809 时序由FPGA 控制,ADC 转换输出的数字量(D7-D0)送回FPGA,转换结果由实验箱上的LED 数码管以十进制形式显示。

5、ADC0809 的VREF 接+5V 电压。

6、FPGA 与ADC0809 之间接口利用实验箱上的“彩色液晶”接口。

程序设计过程:1、定义程序名、输入输出量和初始化Moduleadc_cc(clkin,rst,clkout,sel,data,start,eoc,ale,oe,seg_com,seg_data); input clkin; //时钟输入50MHZ inputrst;inputeoc; //ADC0809input [7:0] data; //输入八位数据output clkout; //时钟输出output start; //ADC0809起始信号 output [2:0] sel;//转换通道 output ale; //ADC0809 outputoe;//ADC0809 output [7:0] seg_com; //位选 output [7:0] seg_data;//段选parameter CLK_FREQ = 'D50_000_000;//系统时钟50MHZ parameter CLK_out_FREQ ='D500_000;//输出时钟parameter state_pre = 0; //sel 状态1parameter state_pre2 = 1; //ale 状态2 parameter state_start =2; //start 状态3 parameter state_conv = 3; //conv 状态4parameter state_wait = 4; // 状态5 parameter state_readpre = 5;// 状态6 parameter state_read = 6;//over // 状态7reg [2:0] sel; //定义寄存器 reg ale;//定义寄存器 reg start; //定义寄存器 regoe; //定义寄存器reg [7:0] data_led; //LED显示数据 reg[31:0] DCLK_DIV; //32位计数器regclkout; //提供ADC0809时钟500KHZ reg [3:0]state = state_pre;2、按照PDF所给出的时序图进行编程对照时序图,使ADC0809按上图方式工作进行编程always @ (negedgeclkout) case (state) state_pre : begin sel[2:0] <='b000; //ABC 000 通道0 state <= state_pre2;//转到状态2 oe<= 0; //OE拉低 end state_pre2 :begin ale <= 1; state <= state_start; endstate_start : begin start <= 1; ale<= 0; state <=state_conv; end state_conv : begin ale <= 0;start <= 0; state <= state_wait; end state_wait :begin if(eoc) begin state <= state_readpre; endend state_readpre : begin oe<= 1; state <= state_read; end state_read : begin data_led = data; state <= state_pre; end endcase//ALE拉高 //转到状态3 //START拉高 //转到状态4//ALE拉低锁定 //START拉低 AD启动 //转到状态5 //查询EOC是否被拉高 //转到状态6 //输出使能拉高 //转到状态7//开始读数据 //重新回到状态1 进行下一次AD转换 3、将AD转换结果用数码管显示。

数字电压表的设计实验报告

数字电压表的设计实验报告

.课程设计——基于51数字电压表设计物理与电子信息学院电子信息工程1、课程设计要求使用单片机AT89C52和ADC0832设计一个数字电压表,能够测量0-5V之间的直流电压值,两位数码显示。

在单片机的作用下,能监测两路的输入电压值,用8位串行A/D转换器,8位分辨率,逐次逼近型,基准电压为5V;能用两位LED进行轮流显示或单路选择显示,显示精度0.1伏。

2、硬件单元电路设计AT89S52单片机简介AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含8k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS -51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。

AT89S52具有如下特点:40个引脚,8k Bytes Flash片内程序存储器,256 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级,2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。

ADC0832模数转换器简介ADC0832 是美国国家半导体公司生产的一种8 位分辨率、双通道A/D转换芯片。

由于它体积小,兼容性强,性价比高而深受单片机爱好者及企业欢迎,其目前已经有很高的普及率。

学习并使用ADC0832 可是使我们了解A/D转换器的原理,有助于我们单片机技术水平的提高。

图1芯片接口说明:·CS_ 片选使能,低电平芯片使能。

·CH0 模拟输入通道0,或作为IN+/-使用。

·CH1 模拟输入通道1,或作为IN+/-使用。

·GND 芯片参考0 电位(地)。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

专业课程设计报告专业课程设计报告题目:音响放大器的设计系别信息与通信工程学院专业班级通信11-1BF学生姓名刘巧玉刘亮学号14112300926指导教师刘靖目录一、设计目的 (1)二、设计任务和设计要求 (1)2.1设计任务 (1)2.2设计要求 (1)三、设计内容 (2)3.1音响放大器电路原理与设计 (2)3.1.1双积分模数转换器的基本工作原理 (2)3.1.2双积分模数转换器ICL7107 (4)3.1.3直流电压测量的实现 (7)3.2原理图与PCB图 (8)四、测试方案与测试结果 (8)4.1测试仪器 (8)4.2测试结果与分析 (8)五、总结(感想和心得等) (9)六、主要参考文献 (9)附录 (10)(一)、原理图与PCB图 (10)(二)、实物图片 (12)1.综合运用数字电路和模拟电路,巩固所学知识。

2.了解双积分A/D转换器的工作原理。

3.掌握ICL7107构成数字直流电压表的方法。

4.了解数字显示电路的扩展应用。

5.了解产品设计的基本思路和方法。

6.掌握常用电子元件的选择方法和元件参数的。

7.加强计算机运用、查阅资料和独立完成电路设计的能力。

二、设计任务和设计要求2.1设计任务1.设计一个数字电压表电路;2.通过设计,可以实现数字测量;3.测量范围:直流电压0V到1.999V,0V到19.99V,0V到199.9V,0V到1999V;4.组装调试数字电压表;5.画出数字电压表电路原理图,写出实验报告。

2.2设计要求1.利用芯片ICL7107来实现电路功能;2.选择合适的电阻、电容、数码管等器件;3.完成全电路理论设计、制作、调试,并画出电路原理图;4.撰写设计报告;5.上交制作产品一件。

3.1 数字电压表电路原理与设计图3-1-0 多量程数字电压表系统框图3.1.1双积分模数转换器的基本工作原理当输入电压为Vx时,在一定时间T1内对电量为零的电容器C进行恒流(电流大小与待测电压Vx成正比)充电,这样电容器两极之间的电量将随时间线性增加,当充电时间T1到后,电容器上积累的电量Q与被测电压Vx成正比;然后让电容器恒流放电(电流大小与参考电压Vref成正比),这样电容器两极之间的电量将线性减小,直到T2时刻减小为零。

所以,可以得出T2也与Vx成正比。

如果用计数器在T2开始时刻对时钟脉冲进行计数,结束时刻停止计数,得到计数值N2,则N2与Vx成正比。

双积分AD的工作原理就是基于上述电容器充放电过程中计数器读数N2与输入电压Vx成正比构成的。

现在我们以实验中所用到的3位半模数转换器ICL7107为例来讲述它的整个工作过程。

ICL7107双积分式A/D转换器的基本组成如图(a)所示,它由积分器、过零比较器、逻辑控制电路、闸门电路、计数器、时钟脉冲源、锁存器、译码器及显示等电路所组成。

下面主要讲一下它的转换电路,大致分为三个阶段:图3-1-1(a)双积分AD转换原理图图3-1-1(b)双积分AD转换器波形图第一阶段,首先电压输入脚与输入电压断开而与地端相连放掉电容器C上积累的电量,然后参考电容Cref充电到参考电压值Vref,同时反馈环给自动调零电容CAZ以补偿缓冲放大器、积分器和比较器的偏置电压。

这个阶段称为自动校零阶段。

第二阶段为信号积分阶段(采样阶段),在此阶段Vs接到Vx上使之与积分器相连,这样电容器C将被以恒定电流Vx/R充电,与此同时计数器开始计数,当计到某一特定值N1(对于三位半模数转换器,N1=1000)时逻辑控制电路使充电过程结束,这样采样时间T1是一定的,假设时钟脉冲为TCP,则T1=N1*TCP。

在此阶段积分器输出电压Vo=-Qo/C(因为Vo与Vx极性相反),Qo为T1时间内恒流(Vx/R)给电容器C充电得到的电量,所以存在下式:Qo = dt R Vx T *10⎰ = 1T R Vx (1)Vo = -CQo = -1T RC Vx (2) 第三阶段为反积分阶段(测量阶段),在此阶段,逻辑控制电路把已经充电至ref V 的参考电容ref C 按与X V 极性相反的方式经缓冲器接到积分电路,这样电容器C 将以恒定电流R V ref 放电,与此同时计数器开始计数,电容器C 上的电量线性减小,当经过时间T2后,电容器电压减小到0,由零值比较器输出闸门控制信号再停止计数器计数并显示出计数结果。

此阶段存在如下关系:Vo + C 1dt R Vref T *20⎰ = 0 (3) 把(2)式代入上式,得:T2 = Vref T 1Vx (4)从(4)式可以看出,由于T1和Vref 均为常数,所以T2与Vx 成正比,从图(b)可以看出。

若时钟最小脉冲单元为CP T ,则CP T N T *=11,CP T N T *=22,代入(4),即有:N2 = Vx (5)可以得出测量的计数值N2与被测电压Vx 成正比。

3.1.2双积分模数转换器ICL7107ICL7107是高性能、低功耗的三位半A/D 转换电路,包含七段译码器、显示驱动器、参考源和时钟系统。

可以直接驱动LED 数码管,是一块应用非常广泛的集成电路。

ICL7107将高精度、通用性和真正的低成本很好地结合在一起,它有低于10μV 的自动校零功能,零漂小于1μV/oC ,低于10pA 的输入电流,极性转换误差小于一个字。

对于ICL7107,信号积分阶段时间固定为1000个CP T ,即N1的值为1000不变。

而N2的计数随Vx 的不同范围为0~1999,同时自动校零的计数范围为2999~1000,也就T不变。

即满量程时N2max=2000=2*N1,所以Vxmax=2Vref,是测量周期总保持4000个CP这样若取参考电压为100mV,则最大输入电压为200mV;若参考电压为1V,则最大输入电压为2V。

下面阐述它的引脚功能和外围元件参数的选择。

ICL7107双积分模数转换器引脚功能、外围元件参数的选择。

ICL7107芯片的引脚图如左图所示。

图中它和数码管相连的脚以及电源脚是固定的。

芯片的第32脚为模拟公共端,称为COM端;第34脚Vr+和35脚Vr-为参考电压正负输入端;第31脚IN+和30脚IN-为测量电压正负输入端;Cint和Rint分别为积分电容和积分电阻,Caz为自动调零电容,它们与芯片的27、28和29相连,电阻R1和C1与芯片内部电路组合提供时钟脉冲振荡源,从40脚可以用示波器测量出该振荡波形,该脚对应实验仪上示波器接口CLK,时钟频率的快慢决定了芯片的转换时间(因为测量周期总保持4000个Tcp不变)以及测量的精度。

下面我们来分析一下这些参数的具体作用:Rint为积分电阻,它是由满量程输入电压和用来对积分电容充电的内部缓冲放大器的输出电流来定义的,对于ICL7107,充电电流的常规值为Iint=4uA,则Rint=满量程/4uA。

所以在满量程为200mV,即参考电压Vref=0.1V时,Rint=50K,实际选择47K电阻;在满量程为2V,即参考电压Vref=1V时,Rint=500K,实际选择470K电阻。

Cint=T1*Iint/Vint,一般为了减小测量时工频50HZ干扰,T1时间通常选为0.1S ,这样又由于积分电压的最大值Vint=2V,所以:Cint=0.2uF,实际应用中选取0.22uF。

对于ICL7107,38脚输入的振荡频率为:f0=1/(2.2*R1*C1),而模数转换的计数脉冲频率是f0的4倍,即Tcp=1/(4*f0),所以测量周期T=4000*Tcp=1000/f0,积分时间(采样时间)T1=1000*Tcp=250/fo。

所以fo的大小直接影响转换时间的快慢。

频率过快或过慢都会影响测量精度和线性度,同学们可以在实验过程中通过改变R1的值同时观察芯片第40脚的波形和数码管上显示的值来分析。

一般情况下,为了提高在测量过程中抗50HZ工频干扰的能力,应使A/D转换的积分时间选择为50HZ工频周期的整数倍,即T1=n*20ms,考虑到线性度和测试效果,我们取T1=0.1m(n=5),这样T=0.4S,f0=40kHZ,A/D转换速度为2.5次/秒。

由T1=0.1=250/f0,若取C1=100pF,则R1≈112.5KΩ。

实验中为了让同学们更好的理解时钟频率对A/D转换的影响,我们让R1可以调节,该调节电位器就是实验仪中的电位器RWC。

图3-1-2(a)ICL7107的模拟部分图3-1-2(b)ICL7107的数字部分3.1.3直流电压测量的实现首先完成小量程电压表的设计。

图3-1-3(a)200mV满量程直流电压表当参考电压Vref=100mV时,Rint=47KΩ。

如图3-1-3(a)实现200mV满量程直流电压的测量。

但是我们希望数字电压表的量程大一些,那么,只需要更改 2 只元器件的数值,就可以实现量程为±2.000V了。

更改的元器件具体位置和数值见下图的28和29两只引脚:图3-1-3 (b)±2.000V量程的实现在有了一只数字电压表(数字面板表)之后,按照下面的图示,给它配置一组分流电阻,就可以实现多量程数字电流表,分档从±200.0mV至±1000V的多量程电压表。

图3-1-3 (c)多量程电压测量的实现(分压电路)3.2原理图与PCB图见附录一四、测试方案与测试结果4.1测试仪器直流稳压源(提供电源和被测电压)、胜利VC890数字万用表。

4.2测试结果与分析对各量程分别进行测试,计入表4-2-1。

(由于电源限制,并未对2000V档进行测量,且200mV的测量时电压不稳定)五、总结(感想和心得等)这次电子竞赛,我觉得是真正属于我的电子竞赛,为什么这么讲呢,我觉得自己真正的参与进来了,有了竞赛的感觉。

忙碌了半个月的时间,不管最后能不能拿到奖,我觉得我是成功的。

在这不断的修改方案、失败中,我学到了很多的东西,也了解到了自己的很多的不足。

也为明年的电子竞赛提供了指导。

相信自己,我一直在进步,成功已经离我越来越近了。

六、主要参考文献[1]蒋璇,臧春华主编,数字系统设计与PLD应用.电子工业出版社,2005.[2]康华光,电子技术基础(数字部分).高等教育出版社,2003.[3]侯伯亨等.现代数字系统设计.西安电子科技大学出版社,2004.[4]及力.Protel99 se 原理图与PCB设计教程.电子工业出版社,2005.[5]蔡明生.电子设计.高等教育出版社,2004.专业课程设计报告附录(一)、原理图与PCB图图(一)protel99se绘制的原理图(二)、实物图片。

相关文档
最新文档