多功能数字电子钟的设计

合集下载

多功能电子时钟设计

多功能电子时钟设计

多功能电子时钟设计设计一个多功能电子时钟可以使用汇编语言来实现。

电子时钟应包括以下功能:1.显示当前时间2.显示当前日期3.显示当前星期几4.闹钟设置和提醒5.24小时制和12小时制的切换6.定时器功能整体设计思路如下:1.初始化显示屏和设置相关寄存器,包括时钟控制和中断配置寄存器。

2.设置时钟中断,以确保时钟可以按照设定的时间间隔更新时间。

3.初始化闹钟和定时器的相关变量。

4.进入主循环,在循环中读取按键输入并处理各种功能。

5.根据按键输入进行相应的处理:-如果是设置时间按键,进入时间设置模式,等待用户输入。

通过设置小时和分钟变量来修改时间,并在显示屏上更新时间。

-如果是设置日期按键,进入日期设置模式,等待用户输入。

通过设置年、月和日变量来修改日期,并在显示屏上更新日期。

-如果是设置闹钟按键,进入闹钟设置模式,等待用户输入。

通过设置闹钟小时和分钟变量来修改闹钟时间。

-如果是闹钟启动按键,开启或关闭闹钟功能。

-如果是设定定时器按键,进入定时器设置模式,等待用户输入。

通过设置定时器小时和分钟变量来修改定时器时间。

-如果是定时器启动按键,开启或关闭定时器功能。

-如果是24小时制和12小时制的切换按键,切换时钟显示模式。

6.每次闹钟中断,检查当前时间是否与设定闹钟时间相匹配,如果匹配则触发闹钟,并在显示屏上显示提醒信息。

7.每次定时器中断,检查当前时间是否与设定定时器时间相匹配,并触发定时器提醒,并在显示屏上显示提醒信息。

此外,还需要编写相应的子程序来处理时钟中断和定时器中断的逻辑。

总之,这是一个简单的多功能电子时钟的设计,可以使用汇编语言来实现。

通过以上步骤,可以实现时钟的基本功能,并且可以通过按键进行设置和切换不同的功能。

通过设置闹钟和定时器,可以实现提醒功能。

多功能数字时钟设计说明书

多功能数字时钟设计说明书

电子技术综合训练设计报告题目:多功能电子钟的设计姓名:学号:班级:同组成员:指导教师:李恒杰日期:2011年12月30日摘要 (3)一、设计任务和要求 (4)1.1设计任务 (4)1.2基本要求: (4)1.3扩展功能: (4)二、系统设计: (4)2.1系统基本要求 (4)2.2系统方案设计 (4)2.2.1总体设计原理方框图 (4)2.2.2系统工作原理 (5)2.3系统的单元电路设计 (6)2.3.1秒脉冲电路 (6)2.3.2在分和秒之间显示“:”的设计 (8)2.3.3.译码驱动及显示单元电路设计 (9)2.3.4 校时单元电路设计 (11)2.3.5整点报时的设计 (12)三.系统仿真 (13)总仿真图12所示 (13)四.电路安装、调试与测试 (15)4.1电路安装焊接 (15)4.2电路的调试 (16)4.2.1数码管的调试 (16)4.2.2各个部分的调试。

(16)4.2.3总电路的调试 (18)4.3 电路测试 (18)4.3.1功能测试 (18)4.3.2性能测试 (18)五、结论 (18)六、参考文献 (19)附录: (22)1. 用到器件的管脚图....................................................................... 错误!未定义书签。

232.电路调试的实物图 (24)电子钟是采用数字电路实现对时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,因此,研究数字钟及扩大其应用,有着非常现实的意义。

这份设计报告主要介绍了一种以石英晶体振荡器为脉冲信号,以74ls161为主体,以数码管为显示器件的数字钟电路的设计。

电子钟用石英晶体振荡器等组成的多谐振荡器为脉冲信号,频率为1Hz。

其主体分两个部分,计时电路和校时电路。

计时电路以数字形式显示时、分、秒,其中秒和分为60进制,时为24进制,校时电路可对分和时进行校时,当达到整点时会自动报时关键词:数字钟、校时、石英晶体振荡器、整点报时一、设计任务和要求1.1设计任务设计一个多功能电子钟并制作完成1.2基本要求:1、数字形式显示时、分、秒,在分和秒之间显示“:”,并按1次/秒的速度闪烁;2、每日以24小时为一个记时周期;3、有校正功能,能够在任何时刻对电子钟进行方便的校正;4、电源:220V/50HZ的工频交流电供电;①5、按照以上技术要求设计电路,绘制电路图,对设计的电路用Multisim进行仿真,用万用板焊接元器件,制作电路,完成调试、测试,撰写设计报告。

多功能数字电子时钟设计

多功能数字电子时钟设计

多功能数字式电子时钟设计说明:本设计内容是利用51单片机最小系统设计一个电子时钟,时钟采用24小时制,时、分、秒各采用2个共阳极LED数码管显示。

具体实现的的功能如下:(1)能够正常显示时钟。

六个LED数码管依次显示时钟的时、分、秒位。

(2)可以完成键盘采样,实现键值判断;(3)实现简单的键盘功能,例如运行键、暂停键处理。

(4)每当整点时,所有LED闪烁显示,显示次数等于整点数。

若为0点钟,则显示正常;(5)实现键盘功能,包括运行键、暂停键、上升键、下降键、切换健、校准健处理。

通过键盘来实现时钟的暂停、运行、调时等功能。

该电子时钟主要由硬件和软件两部分组成,其中硬件部分主要包括:六个七段LED显示器,用来显示时钟的时、分、秒、位。

集成电路74LS240(反相器)和PNP型三极管9012,用于增加驱动电流的目的.还有AT89S51单片机以及RC组成的振荡电路。

软件部分则是通过软件编程利用51单片机来控制时钟,使其正常走动及按照我们的设计想法实现上述的:暂停、运行、调时及校准等功能。

设计完成后的电子钟可实现以下功能:当通电后,时钟开始正常走动。

当按下键后,时钟暂停运行、再按键时钟开始正常走动。

按下键(六)设计思路1、显示电路51单片机与七段LED显示器的接口为动态接口,需使用2组寄存器进行控制。

其中,一组寄存器控制几个显示器的七段发光二极管,该寄存器称为段选寄存器;另一组寄存器控制这几个七段显示器的公共端,控制这几个显示器逐个循环点亮。

适当的选择循环速度,利用人眼的“视觉暂留”效应,使其看上去好像这几个显示器同时在显示一样,该寄存器称为位选寄存器。

时钟的时、分、秒各用两个共阳极的七段LED显示器来显示,因此共需外接6个七段显示器。

所有显示器相同的段并接在一起,由P1口控制。

每个显示器的公共端分别由P3口的某一位控制。

集成电路74LS240(反相器)只起到增加驱动电流的目的,PNP型三极管9012也是为了增加驱动能力。

多功能数字钟的设计和制作

多功能数字钟的设计和制作

目录摘要 (1)1数字钟的结构设计及方案选择 (2)1.1振荡器的选择 (2)1.2计数单元的构成及选择 (3)1.3译码显示单元的构成选择 (3)1.4校时单元电路设计及选择 (4)2 数字钟单元电路的设计 (4)2.1振荡器电路设计 (4)2.2时间计数单元设计 (4)2.2.1集成异步计数器74LS390 (5)2.2.2 用74LS390构成秒和分计数器电路 (5)2.2.3用74LS390构成时计数器电路 (6)2.2.4 时间计数单元总电路 (7)2.3译码显示单元电路设计 (7)2.4 校时单元电路设计 (7)2.5整点报时单元电路设计 (1)3 数字钟的实现电路及其工作原理 (9)4电路的搭建与调试 (10)5结束语 (10)参考文献 (11)附录1: (12)摘要数字钟被广泛用于个人家庭及公共场所,成为人们日常生活中的必需品。

诸如定时自动报警、按时自动打铃、定时广播、自动起闭路灯、定时开关烘箱、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

因此,研究数字钟及扩大其应用,有着非常现实的意。

数字电子钟,从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

数字电子钟有以下几部分组成:振荡器,分频器,60进制的秒、分计时器和12进制计时计数器,秒、分、时的译码显示部分及校正电路等。

关键词:数字钟 555多谐振荡器计数器 74LS390 74LS48数字电子时钟的设计及制作1数字钟的结构设计及方案选择数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

主要由振荡器、分频器、计数器、译码器显示器和校时电路组成。

振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,通常使用石英晶体震荡器,然后经过分频器输出标准秒脉冲,或者由555构成的多谐振荡器来直接产生1HZ的脉冲信号。

秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。

多功能数字电子钟_VHDL

多功能数字电子钟_VHDL

四、各功能模块的源程序代码 :
-- CONTOR 模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity contor is
1 )“小时” 校时状态: 进入“小时”校时状态后,显示 “小时” 的数码管闪烁,每按动“ k” 键一次,“小时” +1,若不按动“ k”键 则小时数不变,一直按下“ k” 键则小时数一 4Hz 的频率递增计数。
2 )“分”校时状态:进入“分”校时状态后,显示“分”的数 码管闪烁,每按动“ k” 键一次,“分” +1,若不按动“ k”键则分数 不变,一直按下“ k” 键则分数一 4Hz的频率递增计数。
chs,cms,css,f4 :in std_logic; bsg,bmg,bhg,bsd,bmd,bhd :buffer std_logic_vector(3 downto 0); comout :out std_logic); end time_com; architecture time_comx of time_com is begin com:process(hh,mh,sh,hl,ml) begin if(bhg=hh and bhd=hl and bmg=mh and bmd=ml and bsg=sh)then comout<='1'; else comout<='0'; end if; end process; set:process(f4) begin if(f4'event and f4='1')then if(chs='1'and k='0')then if(bhg="0010" and bhd="0011")then bhd<="0000";bhg<="0000"; elsif(bhd="1001")then bhd<="0000";bhg<=bhg+1; elsif(bhd="0000"or bhd="0001" or bhd="0010"or bhd="0011"or bhd="0100"or bhd="0101"or bhd="0110"or bhd="0111"or bhd="1000")then bhd<=bhd+1; end if; end if; end if; end process; process(f4) begin if(f4'event and f4='1')then if(cms='1'and k='0')then if(bmg="0101" and bmd="1001")then

数字电子钟的设计

数字电子钟的设计

数字电子钟的设计数字电子钟的设计随着科技的不断发展,数字电子钟已经成为人们生活中不可或缺的一部分。

它不仅可以告诉我们时间,还可以让我们随时随地掌握时间。

本文将从数字电子钟的功能、设计要素和实现过程三个方面探讨数字电子钟的设计。

一、数字电子钟的功能数字电子钟最基本的功能是显示当前时间。

同时,数字电子钟还可以有多种附加功能,例如显示当前日期、闹钟定时、倒计时、秒表计时等等。

这些功能可以根据用户的需求进行扩展和定制。

数字电子钟还可以根据个人偏好设定显示模式。

比如,可以设定12小时还是24小时制显示,可以选择显示中文还是英文,可以选择不同的背景颜色和字体大小等等。

二、数字电子钟的设计要素数字电子钟的设计要素包括时钟芯片、数字显示器、主芯片、功率模块等多个组成部分。

下面我们来分别介绍一下。

1. 时钟芯片时钟芯片是数字电子钟的核心部件。

它可以提供高精度的时间信号,控制数字显示器显示时间。

常见的时钟芯片有DS1302和DS3231等。

其中,DS3231是一款高精度时钟芯片,可以达到非常高的精度要求。

2. 数字显示器数字显示器是数字电子钟最显著的部分。

常见的数字显示器有LED、LCD和OLED三种类型。

LED数字显示器是最常见的数字显示器,具有显著的视觉效果。

LCD数字显示器可以显示更多的信息,而且更加柔和。

OLED数字显示器颜色更加丰富,显示效果更加真实。

3. 主芯片主芯片是数字电子钟的中央处理器,负责控制各个组成部分间的通讯和协同。

常见的主芯片有STM32和ATMega328P等。

其中,STM32性能比较出色,可以满足高性能要求。

4. 功率模块数字电子钟的功率模块负责提供电源。

常见的功率模块有锂电池和AC/DC适配器两种。

锂电池电量长,使用方便,但是需要经常充电。

AC/DC适配器可以提供长期稳定的电源,但是需要连续供电。

三、数字电子钟的实现过程数字电子钟的实现过程需要进行硬件设计和软件开发两个步骤。

硬件设计包括电路设计和PCB设计两个方面。

多功能数字电子钟设计

多功能数字电子钟设计

数字逻辑课程设计-多功能数字电子钟多功能数字钟的设计与仿真一.设计任务与要求设计任务:设计一个多功能数字钟。

要求:1.有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能。

(设计秒脉冲发生器)2.有整点报时功能。

(选:上下午、日期、闹钟等)3. 用中规模、小规模集成电路及模拟器件实现。

4. 供电方式: 5V直流电源二.设计目的、方案及原理1.设计目的(1)熟悉集成电路的引脚安排。

(2)掌握各芯片的逻辑功能及使用方法。

(3)了解面包板结构及其接线方法。

(4)了解多功能数字钟的组成及工作原理。

(5)熟悉多功能数字钟的设计与制作2.设计思路(1)设计数字钟的时、分、秒电路。

(2)设计可预置时间的校时电路。

(3)设计整点报时电路。

3.设计过程3.1.总体设计方案及其工作原理为:数字钟原理框图入图1所示,电路一般包括一下几个部分:振荡器、星期、小时、分钟、秒计数器、校时电路、报时电路。

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟,但也可以用555定时器构成。

图1 系统框图数字钟计时的标准信号应该是频率相当稳定的1HZ秒脉冲,所以要设置标准时间源。

数字钟计时周期是24小时,因此必须设置24计数器,秒、分、时由数码管显示。

ﻫ为使数字钟走时与标准时间一致,校时电路是必不可少的。

设计中采用开关控制校时电路“时”“分”“秒”计数器进行校时操作。

3.2.各独立功能部件的设计(1)分、秒计时器(60进制),时计数器(24进制),星期计数器(7进制)如下图,图中蓝色线为高电平+5v,绿色为接地线,红色线为时钟脉冲。

获得秒脉冲信号后,可根据60秒为一分,60分为一小时,24时为一个计数周期的计数规则,分别确定秒、分、时的计数器。

由于秒和分的显示都为60进制,因此他们可有两级十进制计数器组成,其中秒和分的个位为十进数器,十位为六进制计数器,可利用两片74160集成电路来实现。

多功能数字电子钟的设计与实现

多功能数字电子钟的设计与实现

目录1 引言 (4)1.1 多功能电子钟的研究背景和选题意义 (4)2 方案设计与选择: (4)3 整体设计思路 (5)4、主要元件介绍 (7)4.1 AT89C51单片机芯片 (7)4.2 数码管 (7)4.3 7407驱动器 (8)5 电路设计 (9)5.1整体设计 (9)5.2 分块设计 (9)5.2.1 输入模块 (10)5.2.2 输出模块 (10)5.2.3 晶振与复位电路: (11)5.3 整体电路图 (11)6.1程序思路 (13)6.2程序设计步骤 (14)6.3程序的主要模块 (14)6.3.1延迟程序 (14)6.3.2 中断服务子程序: (14)6.3.3 主程序 (16)6.3.4显示程序 (16)6.3.5 闹铃程序和定时程序 (17)6.4程序调试 (17)7 日历功能的实现 (17)8 电子钟设计中遇到的问题及其解决方法 (19)参考文献............................................ 错误!未定义书签。

致谢 (24)声明 (25)摘要:本文所要论述的课题,主要使用以AT89C51芯片作为核心,运用12MHZ晶振与单片机AT89C51相连接,采用动态扫描方式显示作为主要论述中心。

通过使用单片机和在显示电路加上7407驱动电路,以达到实现在6个LED数码管上显示出时间,日期,定时,闹钟四大功能,并通过键位操作,达到日期设定,时间调整,闹钟设定,倒计时的功能。

在实现各个功能的时候数进行相对应的显示,蜂鸣器的启动和键位控制声音停止。

软件部分,本设计主要采用微机汇编语言进行实现,其中分为显示,调时,延迟,闹钟,日起调整等部分。

关键词:多功能电子钟;单片机技术;微机汇编语言;动态扫描技术Design of LED Show Electric Clock Based onMicroprocessorThis design uses a 12 MHZ crystal to connect with the machine AT89C51, takes AT89C51 chips as core. It adopts the dynamic state of the scanning method to show. Using this MCU and 7407 drive electric circuit, we are able to show date, time, fix the time, make bell on 6 LED figures tubes. We can use 6 key to constitute date, adjust time, enact the bell and set the countdown timer. When the electric carrying out each function, the figures tube show the tight function .When the alarm clock and the countdown timer were reached, the voice begins. While 3 or 4 is pressed, the voice stops. The software part is realized by assembler language. It was divided into to show, delay, adjust, make bell, in fixed time, adjust date etc. part. We get the end purpose combining the software and the hardware.Keyword:Electric clock MCU Dynamic state scaning assembler language1 引言1.1 多功能电子钟的研究背景和选题意义时间对于人类的重要意义不言而喻,正如郭沫若老先生曾经说过的一样:时间就是生命,时间就是速度,时间就是力量。

多功能数字电子钟设计报告

多功能数字电子钟设计报告

多功能数字电子钟设计报告本文将介绍一个多功能数字电子钟的设计报告。

这个钟具有多种功能,可以显示时间,日期,室内温度和湿度,还可以设置闹钟。

这个钟被设计成简单易用,具有时尚外观和实用性。

硬件设计这个数字电子钟由以下主要部件组成:1. 微控制器:使用STM32F103微控制器进行控制和处理2. 显示屏:采用高清彩色TFT屏幕,尺寸为3.5英寸3. 传感器:使用DHT11温湿度传感器,可以实时监测室内的温度和湿度4. 时钟模块:使用DS1302 RTC(实时时钟)模块确保精准的时间显示5. 按键:包括上、下、左、右、确定和返回六个按键,方便用户设置和控制软件设计这个数字电子钟的软件设计采用了嵌入式设计的方法,代码分为三个主要部分:1. 时钟控制:这个数字电子钟确保了精准的时间显示,使用DS1302 RTC模块,可以确保时钟精度误差不超过±2秒/天。

时钟控制部分还包括时钟校准和闹钟设置。

2. 屏幕控制:这个数字电子钟使用3.5英寸TFT高清彩色屏幕,可以实现时钟、日期、温湿度和闹钟的显示。

屏幕控制部分可以显示多种信息,具有时尚的外观和设计。

3. 传感器控制:使用DHT11温湿度传感器监测室内环境。

传感器控制部分可以实现实时监测温度和湿度,并在屏幕上显示当前的室内温度和湿度。

功能设计这个数字电子钟具有以下主要功能:1. 时间显示:可以精准的显示当前的时间,包括小时、分钟和秒钟。

2. 日期显示:可以显示当前的日期,包括月份、日期和星期几。

3. 温湿度监测:可以实时监测室内的温度和湿度,并在屏幕上显示当前的数值。

4. 闹钟设置:可以设置多个闹钟,并在设定的时间开始响铃。

闹钟响铃时可以选择静音或自动关闭。

5. 操作简便:采用方便简单的按键操作设计,方便用户使用。

总结这个数字电子钟设计具有多种功能,采用了高清彩色TFT 屏幕,集精准时间、日期信息、温湿度信息便利的闹钟设置于一身,是一款可以满足日常生活需求的设计。

电子行业多功能数字电子钟设计

电子行业多功能数字电子钟设计

电子行业多功能数字电子钟设计1. 引言电子钟是一种用于显示时间的设备,广泛应用于各个领域,包括办公室、学校、医院、银行等。

随着技术的不断进步,数字电子钟在功能上也得到了不断扩展和改进。

本文将介绍一种多功能的数字电子钟设计,旨在满足用户对于时间显示的更多需求。

2. 设计目标本设计的主要目标是开发一款数字电子钟,具备以下多种功能:•显示时间:精准显示小时、分钟和秒钟。

•日期显示:显示当前日期,包括年、月和日。

•闹钟功能:用户可设置闹钟时间,并在闹钟时间到达时发出提醒。

•温度显示:显示当前室内温度。

•天气预报:显示当日的天气情况,包括温度和天气状况。

•亮度调节:用户可根据需要调节显示屏的亮度。

•蜂鸣器:可以用于发出提醒音效或者报警。

3. 设计方案3.1 硬件设计本设计的硬件主要包括以下几个模块:•显示模块:采用7段数码管或者液晶显示屏,用于显示时间、日期、温度和天气预报等信息。

•按键模块:用于用户通过按键设置闹钟时间、调节亮度等功能。

•温度传感器:用于检测室内温度,并将数据传输给主控芯片。

•天气传感器:用于检测当前的天气情况,并将数据传输给主控芯片。

•蜂鸣器:用于发出提醒音效或者报警。

3.2 软件设计软件设计方面,本设计采用嵌入式系统的开发方式,主要包括以下几个模块:•时钟模块:用于获取当前的时间,并更新显示屏上的时间信息。

•日期模块:用于获取当前的日期,并更新显示屏上的日期信息。

•闹钟模块:用于设置闹钟时间,并在闹钟时间到达时触发蜂鸣器进行提醒。

•温度模块:用于获取温度传感器的数据,并将温度信息显示在显示屏上。

•天气模块:用于获取天气传感器的数据,并将天气情况显示在显示屏上。

•亮度模块:用于根据用户的调节要求,调节显示屏的亮度。

•蜂鸣器控制模块:用于控制蜂鸣器的开关和发声。

4. 性能测试为确保设计方案的可靠性和稳定性,本设计需要进行一系列的性能测试。

测试主要包括以下几个方面:•时间精准性:通过与标准时间进行对比,测试系统的时间显示是否准确。

数字电子时钟设计

数字电子时钟设计

数字电子时钟设计数字电子时钟是一种简单易用、精度高、使用方便的时钟仪器。

在现代化的生活中,数字电子时钟已经成为人们生活和工作中不可缺少的一部分。

本文将介绍数字电子时钟的设计及其原理。

1. 数字电子时钟的结构数字电子时钟一般由数字显示器、电源、时钟芯片、振荡电路和控制电路等几个部分组成。

数字显示器:数字电子时钟采用的是七段数码管作为显示器,显示出当前时刻的时间。

电源:数字电子时钟的电源一般采用直流电源,可以通过普通的插座或者电池供电。

时钟芯片:时钟芯片是数字电子时钟的核心部分,可以提供高精度的时钟信号,并且可以根据用户设置的时间来进行计时。

振荡电路:振荡电路是数字电子时钟的发挥器,用于产生一个稳定的高精度的时钟信号。

控制电路:控制电路主要用于对数字电子时钟进行各种设置,并且可以控制数字电子时钟的各种功能。

2. 数字电子时钟的操作原理数字电子时钟的操作原理是通过时钟芯片来实现的。

时钟芯片可以提供一个高精度的时钟信号,这个时钟信号可以被控制电路所接收,并且控制电路可以将这个信号转化为秒、分、时等时间单位。

随着科技的发展,数字电子时钟的精度越来越高,可以达到秒级甚至毫秒级的精度。

这些高精度的时钟芯片可以通过电子时钟所连接的振荡电路来产生非常稳定的时钟信号。

3. 数字电子时钟设计的技术要求数字电子时钟的设计需要考虑以下几个方面的技术要求:(1)高精度的时钟信号数字电子时钟的时钟信号需要具有高精度,通常要求时钟误差不超过几秒钟。

这就需要时钟芯片具有非常高的精度的时钟信号源,同时还需要连接高精度的振荡电路。

(2)显示效果清晰明了数字电子时钟的显示效果要求非常的清晰明了,这就需要采用高质量的七段数码管,并且数量要足够,以显示出完整的时间信息。

(3)快速响应、稳定性好由于数字电子时钟是人们生活和工作中不可缺少的一部分,因此数字电子时钟的响应速度和稳定性也非常的重要,需要在设计时特别注重。

4. 数字电子时钟的优点和缺点数字电子时钟有以下几个优点:(1)高精度稳定数字电子时钟可以提供高精度的时钟信号,并且可以保持这个时钟信号的稳定性,误差范围非常小。

电子行业数字电子钟毕业设计

电子行业数字电子钟毕业设计

电子行业数字电子钟毕业设计1. 引言数字电子钟是一种能够准确显示时间的设备,近年来在电子行业得到广泛应用。

本文将介绍一个基于数字电子钟的毕业设计项目,旨在设计和实现一个高精度、多功能的数字电子钟。

2. 设计目标本设计项目旨在满足以下几个设计目标:1.高精度:数字电子钟应能够准确显示当前时间,并具备较高的时间精度。

2.多功能:数字电子钟应具备除基本时间显示功能之外,还应包括日期、闹钟、秒表、倒计时等多种功能。

3.显示清晰:数字电子钟的显示界面应清晰可见,以便用户轻松阅读时间信息。

4.高可靠性:数字电子钟应具备稳定、可靠的工作性能,能够长时间连续工作而不出现故障。

3. 系统框架本设计项目的数字电子钟主要由以下几个模块构成:1.时钟芯片模块:负责实时时钟的计时和时间信息的存储。

2.显示模块:负责将时钟芯片模块获取的时间信息显示在屏幕上。

3.功能模块:包括日期、闹钟、秒表、倒计时等功能模块,负责实现相关功能的逻辑处理和显示。

4.按键模块:负责用户操作的按键检测和响应。

4. 主要实现步骤(1)硬件设计:•使用时钟芯片实现时钟计时和时间信息存储。

•连接显示模块,并设计使其能够正确显示时钟信息。

•连接按键模块,实现用户操作按键的检测和响应。

(2)软件设计:•编写时钟芯片模块的驱动程序,实现时钟计时和时间信息存储的功能。

•设计并实现显示模块的驱动程序,使其能够正确显示时钟信息。

•设计并实现功能模块的驱动程序,实现日期、闹钟、秒表、倒计时等功能的逻辑处理和显示。

•编写按键模块的驱动程序,实现用户操作按键的检测和响应。

5. 预期结果通过设计和实现上述的硬件和软件模块,预期可以实现一个高精度、多功能的数字电子钟。

该数字电子钟可以准确显示当前时间,具备日期、闹钟、秒表、倒计时等功能,并具有良好的用户操作体验和显示效果。

6. 结论本文介绍了一个基于数字电子钟的毕业设计项目。

通过该项目的设计和实现,预期可以得到一个高精度、多功能的数字电子钟。

多功能电子钟毕业设计

多功能电子钟毕业设计

多功能电子钟毕业设计多功能电子钟毕业设计随着科技的发展,电子产品在我们的生活中扮演着越来越重要的角色。

电子钟作为一种常见的家居电子产品,已经成为人们生活中不可或缺的一部分。

然而,目前市面上的电子钟功能单一,只能显示时间,无法满足人们对多样化功能的需求。

因此,我决定以多功能电子钟为毕业设计的主题,设计一款集多种功能于一体的电子钟。

首先,我计划在电子钟上增加一个温度显示功能。

这样,人们可以方便地了解当前的室内温度,无需额外的温度计。

这对于那些需要时刻掌握室内温度的人来说,将是一个非常实用的功能。

其次,我打算在电子钟上加入一个闹钟功能。

这个功能将允许用户设定多个闹钟,以满足不同时间的提醒需求。

而且,我还计划设计一个智能闹钟功能,可以根据用户的睡眠状态智能调整闹钟的响铃时间,以确保用户在最佳时机醒来,避免睡眠中断。

另外,我还想在电子钟上加入一个音乐播放功能。

这样,用户可以通过连接手机或其他音乐设备,将喜欢的音乐通过电子钟播放出来。

同时,我还将设计一个定时关闭音乐的功能,以免用户忘记关闭音乐而影响休息。

除了以上功能,我还计划在电子钟上增加一个倒计时功能。

这个功能可以帮助用户倒计时特定的时间段,比如做饭、运动等。

用户只需设置倒计时的时间,电子钟将会进行倒计时,并在时间结束时发出提醒。

另外,我还打算在电子钟上加入一个日历功能。

用户可以通过电子钟查看当前日期,并设置提醒事项。

这样,用户不仅可以方便地了解日期,还可以及时提醒自己重要的事项,提高工作效率。

最后,我还计划在电子钟上加入一个天气预报功能。

通过连接互联网,电子钟可以获取实时的天气信息,并显示在屏幕上。

这样,用户可以方便地了解当天的天气情况,做好相应的准备。

综上所述,我将设计一款集温度显示、闹钟、音乐播放、倒计时、日历和天气预报等多种功能于一体的电子钟。

这款电子钟将为用户提供更多的便利和实用性,满足人们对多样化功能的需求。

我相信,通过这个毕业设计,我将能够提高自己的设计能力,并为人们的生活带来更多的便利和乐趣。

多功能数字电子钟的设计.

多功能数字电子钟的设计.

学号毕业设计说明书设计题目多功能数字电子钟的设计系部机械电子系专业机电一体化班级机电103 班姓名关付玲指导教师肖玉玲2012年 10月 13日摘要摘要:数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。

它的计时周期为24小时,显示满刻度为23时59分59秒。

一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。

由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。

本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒”的显示和调整。

通过采用各种集成数字芯片搭建电路来实现相应的功能。

具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。

该电路具有计时,整点报时和校时的功能。

在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。

实验证明该设计电路基本上能够符合设计要求!关键词:计数器;译码显示器;校时电路;AbstractAbstract: Digital clock is a "time", "Sub", "second" displays the organ in human visual mechanism. Its time for a period of 24 hours, show full scale 23:59 for 59 seconds. A basic digital clock circuits consists of second signal generator, "hours, minutes, seconds," counters, decoders and display components. Because of its pure digital hardware design, compared with the traditional mechanical watch, it has left, presents an intuitive, non-mechanical transmission device and so on. This digital clock used in the design of digital circuits on the "time" and "min", "second" display and adjustment. Through the use of integrated digital chip circuit structures to achieve appropriate functionality. Specific use of 555 oscillator, 74LS90 and non-, exclusive-or gate integrated circuits and so on. The circuits with timing, the whole point of time and error correction capabilities. In the analysis of the entire module and overall circuit diagramis painted, simulation to emulation and modules record the observed results. Experimental proof of the design circuit can basically meet the design requirement! Key words :Counter ,ten decoding display , citcuit Shool目录摘要 (2)Abstract (2)1.1 前言 (7)1.2 数字钟设计研究内容 ........................................ (错误!未定义书签。

多功能数字时钟毕业设计

多功能数字时钟毕业设计

摘要数字电子时钟是人们日常生活中不可或缺的必需品。

电子钟主要是利用现代电子技术将时钟电子化、数字化。

与传统的机械钟相比,具有时钟精确、显示直观、无机械传动装置等优点,因而得到广泛应用。

另外,在生活和工农业生产中,人们对电子钟的功能又提出了诸多要求:报时、闹钟、日历、温度显示,这就需要电子时钟的多功能性。

根据人们的不同要求,本设计主要为实现一款可正常显示时钟,测量环境温度、湿度,带有定时闹铃,倒计时的多功能电子时钟。

本设计采用液晶显示以其亮度高、显示直观等优点被广泛应用于智能仪器及家用电器等领域。

该时钟系统主要由时钟模块、闹钟模块、环境温度湿度检测模块、液晶显示模块、键盘控制模块以及信号提示模块组成。

能够准确显示时间(显示格式为时时:分分:秒秒,24小时制),可随时进行时间调整,具有闹钟时间设置、闹钟开/关、止闹功能,能够对时钟所在的环境温度进行测量并显示。

设计以硬件软件化为指导思想,充分发挥单片机功能,大部分功能通过软件编程来实现,电路简单明了,系统稳定性高。

关键词:电子时钟;单片机;多功能;温湿度传感器AbstractDigital electronic clock is indispensable to daily life. Electronic clock is the use of modern electronic technology to clock electronic, digital. Compared with the traditional mechanical clock, a clock-accurate, intuitive display, no mechanical transmission device, etc., and thus are widely used. In addition, the in the the in the life and industrial and agricultural production,, the people pairs of the the the function of of electronic bell also proposed a a lot of of the requirements of: timekeeping,alarm clock, calendar, temperature display, which requires the the the versatility of electronic clock.According to the different requirements of the people of this design to achieve a normal display clock / calendar, measuring the ambient temperature, humidity, multi-function electronic clock with alarm.This design uses the LCD its high brightness, intuitive and other advantages are widely used in intelligent instruments and appliances and other areas. The clock system clock module, alarm clock module, the ambient temperature and humidity detection module, LCD module, keyboard, control module and the signal prompt module composition. Be able to accurately to display the time (display format for the from time to time: sub-sub-the: seconds seconds, system of the 24 hours), can be at any time carried out the time to adjust, with a the alarm clock time setting, alarm clock On / Off, ended downtown function, be able to pairs the ambient temperature where the by the clock carried out measurement and displayed. Design hardware and software as the guiding ideology, give full play to the MCU function, most of the functionality through software programming simple circuit and system stability.Keywords: Electronic clock;single-chip; multi-function;temperature and humidity sensor目录摘要 .................................................. Abstract . (I)1 绪论 (4)1.1课题背景 (4)1.1.1 多功能数字电子钟研究的背景 (4)1.1.2多功能电子钟研究现状与意义 (4)1.1.3 多功能电子钟的功能 (5)2 硬件设计 (7)2.1 系统的设计思路 (7)2.1.1设计流程 (7)2.2单片机的选择 (7)2.2.1 单片机的发展趋势 (7)2.2.2 单片机主要应用领域和特点 (8)2.3 单片机 STC89C52简介 (8)2.4 温湿度传感器 (13)2.4.1 DHT11 概述 (13)2.4.2 应用电路连接说明 (14)2.4.3 DHT11数据结构 (14)2.5显示部分设计 (15)2.5.1 液晶显示屏LCD1602简介 (15)2.5.2 LCD1602功能介绍 (15)2.5.3 LCD1602初始化过程 (17)2.5.4 LCD1602硬件电路接口设计 (18)2.6 单片机最小系统 (18)2.7 键盘部分 (20)2.8 报警电路设计 (21)2.9 直流稳压电源部分 (22)2.10 硬件仿真 (22)2.10.1 仿真软件protues简介 (22)2.10.2 本设计的仿真原理图 (23)3 软件设计 (24)3.1 编程环境Keil简介 (24)3.2软件设计总体说明 (24)3.2.1主程序设计 (24)3.2.2 键盘部分 (25)3.2.3 闹钟子程序程序 (26)4 调试 (27)4.1软件调试 (27)4.2硬件调试 (33)5 系统可靠性的设计 (34)5.1 软件可靠性的设计 (34)5.2 硬件可靠性的设计 (35)5.3 本系统中的抗干扰的预防措施 (35)总结 (37)参考文献 (1)附录 (2)附录1:部分程序代码 (2)附录2:系统仿真原理图 (10)1 绪论一寸光阴一寸金,寸金难买寸光阴。

多功能电子钟的设计与实现

多功能电子钟的设计与实现

多功能电子钟的设计与实现随着现代社会的不断发展,人们生活节奏也越来越快。

时间意识的强化和对时间的精确度要求也不断提高。

电子钟作为时间显示的主要设备之一,在每个家庭和公共场合中都显得尤为重要。

基于此,本文将介绍一种多功能电子钟的设计与实现。

一、电子钟的基础原理电子钟的基础原理是利用稳定的时间基准源,将电路中的计数器运算得到时间参数,并将参数转化为物理显示。

其核心部件为晶振和计时器,晶振生成一个稳定且频率精确的信号,计时器将信号经过运算得到时间参数,再通过驱动显示设备显示出来。

二、多功能电子钟的基础设计多功能电子钟是在电子钟基础上增加其他实用功能的基础上改进而来的。

这种电子钟能够显示时间、日期,同时还具有室温、湿度、闹钟、定时开关等多种功能,方便人们生活。

它的基本设计包括电路设计和硬件设计两部分。

1.电路设计电路设计是多功能电子钟的核心,其参数准确性和功能性是实现此类电子产品成功的基础。

电路设计中必须考虑到信号放大器、计时器、数字转换、电源管理等多个要素。

同时,还需考虑CPU芯片的选型和相应的软件程序设计。

信号放大器:对从晶振的输出端口得到的信号进行放大,以便CPU芯片可对信号进行计时。

计时器:确定日期和时间的计时器是电子钟关键组件。

电路中计数器运算并将其转化为数字显示。

另外,为了达到高度精确的计时目标,计时器还需校准。

数字转换:要确保所有的操作都能通过数字方式显示和转化。

这种电子产品的设计需要包括外围的数字转换器,以便数字能被转换为物理状态,如LED显示屏。

电源管理:电源必须可靠且低功耗。

由于电子钟要长时间工作,因此保证电源的稳定供电无疑也是必要的。

2.硬件设计硬件设计是指吸收电路设计输出,确定和选择相应的部件以及构建相应设备。

硬件设计中同样需要考虑到耗电量、性能、实用性和可用性等因素。

显示屏:多彩LCD屏幕广泛应用于各种电子产品中。

为了实现多功能电子钟的多种显示需要,需要选择合适的LCD显示器。

多功能数字钟的设计及制作

多功能数字钟的设计及制作

多功能数字钟的设计及制作1.设计分析本次设计的数字钟具有校时功能。

我们需要在先设计一个基本的数字钟,然后在此基础上增加校时电路。

一个基本的数字钟由三个部分组成:秒脉冲产生电路,计数电路,译码显示电路,然后就是加上校时电路,一个四部分构成了本次设计的多功能数字钟,其总体方框图如图1-1图1-1 总体方框图2.设计内容2.1秒脉冲产生部分本设计使用由555定时器构成的多谐振荡器来产生1HZ的信号。

虽然此振荡器没有石英晶体稳定度和精确度高,由于设计简单而成为了设计时的首选。

只要在555定时器电路外部配上两个电阻及两个电容元件,并将某些引脚相连,就可以方便地构成多谐振荡器。

555定时器是数字脉冲产生的核心芯片,所以在了解其原理之前,我们需了解555定时器。

555定时器逻辑符号如图2-1所示:图2-1 555定时器逻辑符号管脚功能如表2-1所示:图2-2 秒脉冲电路根据原理和元件图,结合一阶电路暂态过程的三要素法,可以计算出充放电的时间,两者相加即为脉冲周期,脉冲周期的倒数即为脉冲频率。

充电过程的方程式: 2/3Vcc=Vcc+(1/3Vcc-Vcc)e(t1/RC)t1=(R1+R2)C*㏑2=0.7(R1+R2)C放电过程的方程式: 1/3Vcc=0+(2/3Vcc-0)e(t1/RC)t2=R2*C㏑2=0.7R2*C脉冲周期为: t=t1+t2=0.7(R1+2R2)C脉冲频率为: f=1/t=1.43/(R1+2R2)C令R1=15k,R2=68k,C=0. 01F,(其中0.01F的电容的作用是防干扰的)代入数据,计算得,f=0.94HZ≈1HZ基本满足实验要求。

2.2计数部分计数部分的核心芯片是74LS9074LS90是二---五---十进制异步计数器。

它有两个时钟输入CKA和CKB,其中,CPA和Q0组成一位二进制计数器,CKB和Q1Q2Q3组成五进制计数器,若将Q0与CKB相连接,时钟脉冲从CKA输入,则构成了84212BCD码十进制计数器。

多功能数字钟-电子设计

多功能数字钟-电子设计

多功能数字钟-电子设计设计一个多功能数字钟,可以显示时间、日期、温度,并能设置闹钟和倒计时功能。

电子设计:1.采用LED数码管显示时间、日期、温度,可以选择7段数码管或者共阳共阴的数码管。

2.采用实时时钟芯片,能够准确读取时间和日期。

3.通过温度传感器读取室内温度,并在数码管上显示。

4.设计按钮控制系统,包括设置时间、日期、闹钟和倒计时功能。

5.设置闹钟功能,可以设定每天重复或只响一次,并能够自定义铃声。

6.设置倒计时功能,可以设定倒计时时间,并能够显示剩余时间。

7.设计电源接口,可以使用电池或外部电源供电。

硬件设计:1.使用微控制器作为控制中心,控制LED数码管的显示和按钮的输入。

2.将实时时钟芯片连接到微控制器,通过I2C或SPI协议读取时间和日期。

3.连接温度传感器与微控制器,通过模拟输入端口读取温度值。

4.设计按钮接口,将按钮连接到微控制器的GPIO引脚,用于接收用户的操作。

5.设计蜂鸣器接口,通过微控制器生成不同频率的信号,作为闹钟铃声。

软件设计:1.编写微控制器的固件程序,实现读取时间、日期、温度的功能。

2.实现LED数码管的驱动程序,将时间、日期、温度的数值转换成相应的LED显示。

3.编写按钮的中断服务程序,实现按钮的响应和功能切换。

4.设计闹钟功能的逻辑,根据用户的设定时间和铃声选择,启动闹钟。

5.设计倒计时功能的逻辑,根据用户设定的倒计时时间,显示剩余时间并发出提醒。

6.设计设置功能的菜单,通过按钮操作进入不同的设置界面。

7.实现电源管理功能,可以根据用户选择使用电池或外部电源供电。

8.调试和测试系统的各项功能,确保稳定性和准确性。

多功能数字钟电路设计

多功能数字钟电路设计

多功能数字钟电路设计
多功能数字钟电路可以用来显示时间、日期、闹钟和定时器等功能。

下面是一个简单的多功能数字钟电路设计,它基于CD4511七段译码器和CD4543 BCD-七段译码器。

1. 时间显示功能
为了显示时间,我们需要使用CD4543 BCD-七段译码器。

该译码器接收来自实时时钟(RTC)模块的BCD编码输出。

RTC模块可以用来跟踪时间和日期,它通常包括一个晶体振荡器、计数器和存储器。

BCD 编码输出通过CD4543译码器转换为七段LED显示。

2. 日期显示功能
类似于时间显示功能,日期显示也需要使用RTC模块。

RTC模块可以提供年份、月份和日期的BCD编码输出。

这些编码输出通过CD4543译码器转换为七段LED显示。

3. 闹钟功能
闹钟功能可以通过计时器和比较器实现。

我们可以使用555定时器作
为计时器,它可以生成一个固定的时间间隔。

然后,我们可以使用一个比较器来比较当前时间和闹钟时间。

如果它们匹配,闹钟就会响起。

4. 定时器功能
定时器功能可以通过555定时器来实现。

我们可以设置计时器的时间间隔,并使用CD4511七段译码器来显示剩余时间。

当定时器完成计时时,它可以触发一个报警器或执行其他操作。

总之,多功能数字钟电路可以实现时间、日期、闹钟和定时器等多种功能。

这些功能可以通过RTC模块、CD4511七段译码器、CD4543 BCD-七段译码器和555定时器等元件来实现。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

学号20103010342毕业设计说明书设计题目多功能数字电子钟的设计系部机械电子系专业机电一体化班级机电103 班姓名关付玲指导教师肖玉玲2012年 10月 13日摘要摘要:数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。

它的计时周期为24小时,显示满刻度为23时59分59秒。

一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。

由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。

本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。

通过采用各种集成数字芯片搭建电路来实现相应的功能。

具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。

该电路具有计时,整点报时和校时的功能。

在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。

实验证明该设计电路基本上能够符合设计要求!关键词:计数器;译码显示器;校时电路;AbstractAbstract:Digital clock is a "time", "Sub", "second" displays the organ in human visual mechanism. Its time for a period of 24 hours, show full scale 23:59 for 59 seconds. A basic digital clock circuits consists of second signal generator, "hours, minutes, seconds," counters, decoders and display components. Because of its pure digital hardware design, compared with the traditional mechanical watch, it has left, presents an intuitive, non-mechanical transmission device and so on. This digital clock used in the design of digital circuits on the "time" and "min", "second" display and adjustment. Through the use of integrated digital chip circuit structures to achieve appropriate functionality. Specific use of 555 oscillator, 74LS90 and non-, exclusive-or gate integrated circuits and so on. The circuits with timing, the whole point of time and error correction capabilities. In the analysis of the entire module and overall circuit diagram is painted, simulation to emulation and modules record the observed results. Experimental proof of the design circuit can basically meet the design requirement!Key words:Counter ,ten decoding display , citcuit Shool目录摘要 (2)Abstract (2)1.1 前言 (7)1.2 数字钟设计研究内容 .......................................... (错误!未定义书签。

)2 设计方案2.1 方案设计与论证 .................................................. (错误!未定义书签。

)2.2 时间计数器电路 .................................................. (错误!未定义书签。

)2.3 译码驱动及显示电路 .......................................... (错误!未定义书签。

)2.4 校时电路............................................................... (错误!未定义书签。

)2.5 报时电路............................................................... (错误!未定义书签。

)3 单元电路设计与分析3.1 时间脉冲产生电路的设计 .................................. (错误!未定义书签。

)3.2 计数电路的设计 .................................................. (错误!未定义书签。

)3.3 译码及驱动显示电路 .......................................... (错误!未定义书签。

)3.4 校时电路的设计 .................................................. (错误!未定义书签。

)3.5 报时电路的设计 (29)4 电路总体设计与调试4.1 电路总图............................................................... (错误!未定义书签。

)5 仿真结果及分析 ..................................................... (错误!未定义书签。

)致谢. (17)参考文献........................................................................ (错误!未定义书签。

)前言电子技术与计算机技术的不断发展,以数字电路为核心的控制层出不穷。

当然,无论什么系统都离不开时间参数。

而传统的机械钟表显然是不能直接参与到各种自动控制系统中的,数字式电子钟表就应运而生。

电子钟表是一种用电能为动力,液晶显示或LED显示数字式和石英指针式的计时器。

数字式电子钟是一种用数字显示秒、分、时的计时装置、与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,它在工业自动控制系统中起到了不可或缺的作用。

随着科学技术的发展,人们对时间计量的精度要求越来越高。

高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟、石英表、石英钟都采用了石英技术,因此走时精确度高,稳定性好,使用方便,不需要经常调校、数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替指针显示进而显示时间,减少了计时误差。

这种表具有时、分、秒、显示时间的功能,还可以进行时、分、秒的校对。

片选的灵活性好。

当前数字电路设计的趋势:1.越来越大的设计。

2.短的推向市场的时间。

3.越来越低的价格。

4.大量使用计算机辅助设计工具(EDA技术)。

4.多层计设计表述。

5.大量使用复用技术IP。

越来越多的数字产品会在将来占领更多的市场。

现如今,钟表被广泛运用于家庭,码头,车站,办公室等公共场合,成为人们生活中的必需品。

由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度超过老式钟表。

钟表的数字化给人们的生活带来了极大的方便而且大大扩展了时钟表的功能,诸如定时自动报警,按时自动打铃,定时广播等等,所有这些,都是以钟表数字化为基础的。

因此研究数字钟及其扩展应用,有着非常大的现实意义。

1.2 数字钟设计研究内容数字钟设计是利用电子技术设计出一个数字时钟,数字钟是一个将“时”、”分”、“秒”显示于人的视觉器官的计时装置。

电路系统由秒发生器、“时”、”分”、“秒”计数器、译码器及显示器等电路组成。

通过数字钟设计练习,将对综合知识应用能力设计能力有较大提高,对今后从事电子产品的研制、生产、经营、维修等打下基础。

此次设计要通过简单的逻辑芯片实现数字时钟,要点在于将555芯片连接输出为一秒的多谢振荡器用于时钟的秒脉冲,用74LS290等连接成60和24进制的计数器,再通过七段数码显示,构成多功能数字时钟。

2 设计方案设计一个多功能数字时钟,该时钟具有显示时分秒,校时及整点报时功能。

方案一:采用单片机来实现系统的控制。

键盘用芯片7289控制,时钟芯片采用DS12887,温度传感器采用DS18B20。

市电信号电压通过更高精度的AD536A、ICL7135等测得,频率可采用测周期法间接测得。

此系统硬件简洁,将复杂的硬件功能用软件实现,因此系统控制灵活,需要软件程序来实现各种控制功能。

方案二:采用硬件来完成,可通过用74ls48,74ls290,74LS00,4060与非门等电子元器件来实现。

方案简洁、灵活、可扩展,完全能达到设计要求,不需要软件编程。

缺点:硬件电路较复杂。

本设计选取第二种方案,直接使用硬件电路实现,电路结构清晰,电路简单实用,省去软件编程的问题。

选用方案:电子电路74LS290,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。

用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。

2.秒、分为00-59六十进制计数器。

3.时为00-23二十四进制计数器。

4.可手动校正:能分别进行秒、分、时的校正。

只要将开关置于手动位置。

可分别对秒、分、时进行连续脉冲输入调整。

5.整点报时。

整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000hz)2.1设计总方案数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

相关文档
最新文档