重庆大学2017年计算机组成原理实验一
计算机组成原理实验(接线、实验步骤)
计算机组成原理实验(接线、实验步骤)实验⼀运算器[实验⽬的]1.掌握算术逻辑运算加、减、乘、与的⼯作原理;2.熟悉简单运算器的数据传送通路;3.验证实验台运算器的8位加、减、与、直通功能;4.验证实验台4位乘4位功能。
[接线]功能开关:DB=0 DZ=0 DP=1 IR/DBUS=DBUS接线:LRW:GND(接地)IAR-BUS# 、M1、M2、RS-BUS#:接+5V控制开关:K0:SW-BUS# K1:ALU-BUSK2:S0 K3:S1 K4:S2K5:LDDR1 K6:LDDR2[实验步骤]⼀、(81)H与(82)H运算1.K0=0:SW开关与数据总线接通K1=0:ALU输出与数据总线断开2.开电源,按CLR#复位3.置数(81)H:在SW7—SW0输⼊10000001→LDDR2=1,LDDR1=0→按QD:数据送DR2置数(82)H:在SW7—SW0输⼊10000010→LDDR2=0,LDDR1=1→按QD:数据送DR1 4.K0=1:SW开关与数据总线断开K1=1:ALU输出与数据总线接通5. S2S1S0=010:运算器做加法(观察结果在显⽰灯的显⽰与进位结果C的显⽰)6.改变S2S1S0的值,对同⼀组数做不同的运算,观察显⽰灯的结果。
⼆、乘法、减法、直通等运算1.K0K1=002.按CLR#复位3.分别给DR1和DR2置数4.K0K1=115. S2S1S0取不同的值,执⾏不同的运算[思考]M1、M2控制信号的作⽤是什么?运算器运算类型选择表选择操作S2 S1 S00 0 0 A&B0 0 1 A&A(直通)0 1 0 A+B0 1 1 A-B1 0 0 A(低位)ΧB(低位)完成以下表格ALU-BUS SW-BUS# 存储器内容S2S1S0 DBUS C输⼊时:计算时:DR1:01100011DR2:10110100(与)DR1:10110100DR2:01100011(直通)DR1:01100011DR2:01100011(加)DR1:01001100DR2:10110011(减)DR1:11111111DR2:11111111(乘)实验⼆双端⼝存储器[实验⽬的]1.了解双端⼝存储器的读写;2.了解双端⼝存储器的读写并⾏读写及产⽣冲突的情况。
计算机组成原理数据通路实验报告
计算机组成原理数据通路实验报告计算机组成原理实验报告计算机组成原理实验报告实验一基本运算器实验一、实验目的1. 了解运算器的组成结构2. 掌握运算器的工作原理3. 深刻理解运算器的控制信号二、实验设备PC机一台、TD-CMA实验系统一套三、实验原理1. (思考题)运算器的组成包括算数逻辑运算单元ALU(Arithmetic and Logic Unit)、浮点运算单元FPU(Floating Point Unit)、通用寄存器组、专用寄存器组。
①算术逻辑运算单元ALU (Arithmetic and Logic Unit)ALU主要完成对二进制数据的定点算术运算(加减乘除)、逻辑运算(与或非异或)以及移位操作。
在某些CPU中还有专门用于处理移位操作的移位器。
通常ALU由两个输入端和一个输出端。
整数单元有时也称为IEU(IntegerExecution Unit)。
我们通常所说的“CPU 是XX位的”就是指ALU所能处理的数据的位数。
②浮点运算单元FPU(Floating Point Unit)FPU主要负责浮点运算和高精度整数运算。
有些FPU还具有向量运算的功能,另外一些则有专门的向量处理单元。
③通用寄存器组通用寄存器组是一组最快的存储器,用来保存参加运算的操作数和中间结果。
④专用寄存器专用寄存器通常是一些状态寄存器,不能通过程序改变,由CPU自己控制,表明某种状态。
而运算器内部有三个独立运算部件,分别为算术、逻辑和移位运算部件,逻辑运算部件由逻辑门构成,而后面又有专门的算术运算部件设计实验。
下图为运算器内部原理构造图2. 运算器的控制信号实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的T1、T2、T3、T4,CLR都连接至CON单元的CLR按钮。
T4由时序单元的TS4提供(脉冲信号),其余控制信号均由CON单元的二进制数据开关模拟给出。
控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。
《计算机组成原理》实验报告一
《计算机组成原理》实验报告一一、实验目的:编写程序、上机调试、运行程序是进一步学习和掌握汇编语言程序设计的必要手段。
通过本次实验, 学习、掌握运行汇编程序的相关知识。
1、二、实验内容:2、熟悉实验用微机的软、硬件配置(1)硬件: Intel Celeron 500GHz CPU、128M内存(8M作共享显存)、intel810芯片主板、集成i752显卡、maxtro20G硬盘、ps/2接口鼠标、PS/2接口键盘。
(2)软件:DOS 操作系统Windows98 seMASM汇编语言程序3、熟悉运行汇编语言所需的应用程序汇编程序使MASM连接程序使用LINK程序调试程序使用DEBUG程序4、熟悉汇编语言源程序上机操作过程(1)编辑源文件(选择可使用的文本编辑器)(2)汇编源程序文件(3)连接目标文件(4)运行可执行文件5、汇编操作举例用edit编辑myprog.asm文件;(见下图)用MASM.exe编译myprog.asm生成myprog.obj文件;C:\masm\bin> masm.exe由图中可以看出:0 个警告错误0个严格错误汇编通过, 生成mygrog.obj目标文件(如果有严格错误, 汇编不能通过, 必须返回编辑状态更改程序。
)用link.exe命令链接myhprog.obj生成myprog.exe文件!C:\masm\bin> link.exeC:\masm\bin> myprog.exe运行程序结果为:屏幕显示“Hi! This is a dollar sign terminated string.”三、实验总结:1.可以在DOS或Windows状态编辑汇编源程序2.可以使用EDIT 或记事本编辑汇编源程序, 源程序必须以.asm为扩展名。
在记事本中保存文件时, 可以加双引号“myprog.asm”,文件名就不会出现myprog.asm.txt的错误3.熟悉相关的DOS 命令cd 进入子目录mkdir 建立子目录xcopy *.* /s 拷贝当前目录下所有文件及子目录format a: 格式化A盘4.在Windows 系统下运行汇编程序, 有时会有问题, 建议大家熟悉DOS命令,DOS编辑工具, 在DOS状态下运行汇编程序。
《计算机组成原理》实验一 TEC-XP汇编语言程序设计参考答案
实验一 TEC-XP汇编语言程序设计(1)设计一个小程序,从键盘上接收一个字符并在屏幕上输出显示该字符。
A 2000 ↙输入如下形式的程序:2000: IN 812001: SHR R02002: SHR R02003: JRNC 20002004: IN 802005: OUT 802006:RET ↙2007:↙(2)设计一个小程序,用次数控制在终端显示器屏幕上输出‘0’到‘9’十个数字符。
提示:假设用R2存储字符个数,R0放第一个字符“0”,输出一个字符则R2减1,完成输出后R0加1 ,以准备下一个字符。
A 2020 ↙2020 : MVRD R2 , 0AMVRD R0 , 302024 : OUT 80DEC R2JRZ , 202EPUSH R02028 : IN 812029 : SHR R0202A : JRNC 2028POP R0INC R0jr 2024202E : RET202F:↙(3)从键盘上连续打入多个属于‘0’到‘9’的数字符并在屏幕上显示,遇到非数字字符结束输入过程。
验证:本程序中是否需要判别串行口输出完成否?为什么?A 2040 ↙2000 : MVRD R2 , 302002 : MVRD R3 , 392004 : IN 81 ;判断键盘上是否按了一个键2005 : SHR R02006 : SHR R02007 : JRNC 2004 ;没有输入则循环测试2008 : IN 80 ;输入字符放入R0的低8位2009 : MVRD R1 , 00FF200B : AND R0 , R1 ;清空R0的高八位200C : CMP R0 , R2 ;输入字符>=‘0’200D : JRNC 2013 ;否则,转到结束处200E : CMP R3 , R0 ;输入字符<=‘9’2010 : JRNC 2013 ;否则,转到结束处2011 : OUT 80 ;输出字符2012 : JMPA 20042013 : RET(4)计算1到10的累加和。
计算机组成原理实验课 实验报告
3设置TH-union+实验机工作方式:将6个拨动开关置于正确位置,实现“分立电路CPU的16位联机工作、使用微程序控制其并从内存读指令”的状态。
4在pc机上启动PECE16.EXE
5练习TH-union+实验机各条指令的使用,掌握其功能。
6编写汇编程序段,实现任务要求的功能。
三、实验结果
三、实验过程
这是一个完成整数排序功能的程序,要求首先输入5个参加排序的整数数值,接下来完成对这5个整数的排序操作,并输出最终的排序结果。
<1>在命令行提示符:下输入下面程序:
10 for i=1 to 5
20 input a(i)
30 next i
40 for i=1 to 4
50 for j=i+1 to 5
2.7实验机存储器使用和扩展实验
一、实验目的
1.理解计算机主存储器芯片的读写和控制方法,学习ROM存储器和RAM存储器的使用
2.熟悉计算机主存储器的组成方法,掌握存储器扩展技术.地址分配
二、实验环境介绍
1.扩展芯片连接
TH-union+教学实验计算机机箱上,供实验中进行存储器扩展空间的只有2个芯片插槽,可插入2片8K*8位的58C65芯片,进行EEPROM存储空间的扩展。
2.58C65芯片应用
58C65芯片是电可擦除可编程的ROM器件,它既可以通过专用的编程软件和设备向芯片写入相应内容,也可以通过写内存的指令,向芯片的指定单元写入数据。
三、实验步骤
用EEPROM芯片58C65扩展主存实验
(1)将扩展的AT58C65芯片插入标有“EXTROMH”和“EXTROML”的自锁紧插座,要注意芯片插入的方向。
计算机组成原理第一次实验报告
K20
K19
K18
K17
K16
0
1
0
1
0
1
0
1
置控制信号:
K11(RRD)
K10(RWR)
K1(SB)
K0(SA)
1
0
1
1
给出CLOCK脉冲上升沿。
(2) R?的读出
自己设置RRD、RWR、SB及SA信号,观察R?的红色指示灯及液晶显示内容。
读R0:
置控制信号:
K11(RRD)
K10(RWR)
数据输出选择器原理图
X2 X1 X0
输出寄存器
0 0 0
IN-OE外部中断
0 0 1
IA-OE中断向量
0 1 0
ST-OE堆栈寄存器
0 1 1
PC-OE PC寄存器
1 0 0
D-OE直通门
1 0 1
R-OE右移门
1 1 0
L-OE左移门
1 1 1
没有输出
三、实验内容
1、A、W的写入
按下表连线
连接
信号孔
µPC原理图
当RES=0时,µPC被清0;
当IREN=0时,在CK的上升沿,预置数据被打入µPC。指令总线(IBUS)上的数据可来自一片74HC245。
当IREN=1时,在CK的上升沿,µPC加1。
(二)程序计数器PC
程序计数器PC由2片74HC161组成,能完成加1和预置数功能。程序计数器的输出由74HC245保存,74HC245与74HC161的输出相连,74HC245(2)的输出连接地址总线,74HC245(1)的输出接到数据总线(当LDPC=0时)。
二、实验原理
(一)寄存器
计算机组成原理实验报告1
《计算机组成原理实验》报告1姓名学号教师时间地点机位实验名称:数据传送实验一、实验目的1. 理解自然语言形式命令的人工译码过程。
2. 学习系统部件和数据总线间传送数据的操作。
二、实验原理寄存器的作用是用于保存数据的。
CP226实验仪用74HC574(8D型上升沿触发器)构成各种寄存器。
74HC574 74HC574工作波形图表1:74HC574功能表寄存器A的原理图寄存器W的原理图寄存器组(R0-R3)的原理图三、实验内容1、将57H写入A寄存器。
2、将68H写入W寄存器。
3、将12H写入R0寄存器。
四、实验步骤1、将57H写入A寄存器。
①二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据57H。
表2(电平为:低高低高低高高高)置控制信号为选通寄存器A,置K0(AEN)为0。
②关闭机箱电源,用导线把K0 和AEN连接。
③检查连线和电键位置,确信无误。
④开启机箱电源,按下RST键复位,再按小键盘TV/ME键3次显示屏进入Hand…手动状态。
按下小键盘STEP脉冲键,CK由高变低,观察现象;放开小键盘STEP键,CK脉冲由低变高,产生一个上升沿,数据57H打入选通的寄存器A,观察现象。
2、将68H写入W寄存器。
①二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据68H。
置控制信号为选通寄存器W,置K1(WEN)为0,K0(AEN)为1。
②用导线把K1和WEN连接。
③检查连线和电键位置,确信无误。
④按下小键盘STEP脉冲键,CK由高变低,观察现象;放开小键盘STEP键,CK脉冲由低变高,产生一个上升沿,数据68H打入选通的寄存器W,观察现象。
3、将12H写入R0寄存器。
①二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据12H。
置控制信号为选通寄存器R0。
置K1(WEN)为1,K0(AEN)为1。
②用不同颜色的导线把K5和RRD连接,K4和RWR连接,K3和SB连接,K2和SA连接。
计算机组成原理 实验报告
计算机组成原理实验报告计算机组成原理实验报告引言:计算机组成原理是计算机科学与技术专业的重要课程,通过学习该课程,我们可以深入了解计算机的工作原理和内部结构。
本次实验旨在通过实际操作,加深对计算机组成原理的理解,并掌握一些基本的计算机硬件知识。
实验目的:1. 理解计算机的基本组成部分,包括中央处理器(CPU)、存储器、输入输出设备等;2. 掌握计算机的运行原理,了解指令的执行过程;3. 学习使用计算机组成原理实验箱,进行实际的硬件连接和操作。
实验过程:1. 实验一:组装计算机本次实验中,我们需要从零开始组装一台计算机。
首先,我们按照实验指导书的要求,选择合适的硬件组件,包括主板、CPU、内存、硬盘等。
然后,我们将这些硬件组件逐一安装到计算机箱中,并连接好电源线、数据线等。
最后,我们将显示器、键盘、鼠标等外设连接到计算机上。
2. 实验二:安装操作系统在计算机组装完成后,我们需要安装操作系统。
本次实验中,我们选择了Windows 10作为操作系统。
首先,我们将Windows 10安装盘插入计算机的光驱中,并重启计算机。
然后,按照安装向导的指引,选择安装语言、时区等相关设置。
最后,我们根据自己的需求选择安装方式,并等待操作系统安装完成。
3. 实验三:编写并执行简单的汇编程序在计算机组装和操作系统安装完成后,我们需要进行一些简单的编程实验。
本次实验中,我们选择了汇编语言作为编程工具。
首先,我们编写了一个简单的汇编程序,实现两个数相加的功能。
然后,我们使用汇编器将程序翻译成机器码,并将其加载到计算机的内存中。
最后,我们通过调试器来执行这个程序,并观察程序的执行结果。
实验结果与分析:通过本次实验,我们成功地组装了一台计算机,并安装了操作系统。
在编写并执行汇编程序的实验中,我们也成功地实现了两个数相加的功能。
通过观察程序的执行结果,我们发现计算机能够按照指令的顺序逐条执行,并得到正确的结果。
这进一步加深了我们对计算机的工作原理的理解。
(完整word版)计算机组成原理实验1~4
实验一寄存器实验一、实验目的1、了解CPTH模型机中寄存器的结构、工作原理及其控制方法.2、熟悉CPTH实验仪的基本构造及操作方法。
二、实验电路寄存器的作用是用于保存数据的,因为CPTH模型机是8位的,因此模型机中大部寄存器是8 位的,标志位寄存器(Cy, Z)是二位的.CPTH 用74HC574 (8—D触发器)来构成寄存器。
74HC574 的功能如表1—1所示:图1-1 74HC574的引脚图1. 在CLK的上升沿将输入端的数据打入到8 个触发器中2. 当OC = 1 时触发器的输出被关闭,当OC=0 时触发器的输出数据表1-1 74HC574功能表图1—2 74HC574工作波形图三、实验内容(一)proteus仿真平台1、proteus仿真平台简介Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件。
它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件.它的主界面如图1-3所示:图1—3 proteus仿真平台主界面2、在proteus平台上运行电路:寄存器_1.DSN。
拨动开关,观察灯的亮灭,回答思考题1。
思考题1:先使OC=1,拨D0~D7=00110011,按下CK提供CLK上升沿;再拨D0~D7=01000100,OC=0,此时Q0~Q7为多少?3、CPTH模型机上,寄存器A的电路组成如图1-4所示。
在proteus平台上运行电路:寄存器_2.DSN,回答思考题2。
图1-4 寄存器A原理图思考题2:数据从D端传送到Q端,相应的控制端如何设置?3、CPTH模型机上,寄存器组R0~R3的电路组成如图1-5所示。
在proteus平台上运行电路:寄存器_3。
DSN,回答思考题3。
图1—5 寄存器组R0~R3 原理图74LS139是2—4线译码器,由A、B两个输入端选择控制4个输出端Y0~Y3,使能端E低电平有效,允许译码输出。
74HC32是或门,两个输入端同时为低电平,输出为低电平.具体的控制方式见表1-2。
计算机组成原理实验报告
计算机组成原理实验报告
实验目的:
本实验的目的是通过进行计算机组成原理实验,深入理解计算机的基本组成和工作原理,掌握计算机硬件与软件之间的协同工作方式。
实验设备:
1. 计算机主机
2. 键盘
3. 鼠标
4. 显示器
实验步骤:
1. 打开计算机主机,并接通电源。
2. 等待计算机启动完毕,进入操作系统界面。
3. 输入用户名和密码,登录系统。
4. 在桌面上打开文本编辑器,并新建一个文档。
5. 在文档中输入一段文字,并保存文件。
6. 打开浏览器,进入互联网页面。
7. 在浏览器中输入搜索词语,并点击搜索按钮。
8. 查看搜索结果,并点击其中一个链接。
9. 在打开的页面上点击按钮或链接,进行相应操作。
10. 关闭浏览器。
11. 关闭文本编辑器,保存文档。
12. 关闭计算机主机。
实验结果:
通过完成以上步骤,我们成功地进行了计算机组成原理实验。
在电脑启动后,我们登录系统并使用了各种软件和外部设备。
计算机可以顺利地接收我们的指令,并作出相应的操作。
我们也能够通过互联网浏览页面,并进行搜索和点击链接操作。
实验总结:
通过本次实验,我们更加深入地理解了计算机的组成和工作原理。
计算机是由硬件和软件组成,硬件包括主机、键盘、鼠标、显示器等,软件包括操作系统、文本编辑器、浏览器等。
计算机的各个组件通过协同工作,实现了我们对计算和信息的处理。
掌握计算机组成原理对于我们更好地使用计算机和理解计算机科学的发展趋势具有重要意义。
计算机组成原理实验报告
计算机组成原理实验报告实验⼀静态随机存取存贮器实验⼀.实验⽬的了解静态随机存取存贮器的⼯作原理;掌握读写存贮器的⽅法。
⼆.实验内容实验仪的存贮器MEM单元选⽤⼀⽚静态存贮器6116(2K×8bit)存放程序和数据。
CE:⽚选信号线,低电平有效,实验仪已将该管脚接地。
OE:读信号线,低电平有效。
WE:写信号线,低电平有效。
A0..A10: 地址信号线。
I/O0..I/O7:数据信号线。
SRAM6116存贮器挂在CPU的总线上,CPU通过读写控制逻辑,控制MEM的读写。
实验中的读写控制逻辑如下图:读写控制逻辑M_nI/O⽤来选择对MEM还是I/O读写,M_nI/O = 1,选择存贮器MEM;M_nI/O = 0,选择I/O设备。
nRD = 0为读操作;nWR = 0为写操作。
对MEM、I/O的写脉冲宽度与T2⼀致;读脉冲宽度与T2+T3⼀致,T2、T3由CON单元提供。
存贮器实验原理图存贮器数据信号线与数据总线DBus相连;地址信号线与地址总线ABus相连,6116的⾼三位地址A10..A8接地,所以其实际容量为256字节。
数据总线DBus、地址总线ABus、控制总线CBus与扩展区单元相连,扩展区单元的数码管、发光⼆极管上显⽰对应的数据。
IN单元通过⼀⽚74HC245(三态门),连接到内部数据总线iDBus上,分时提供地址、数据。
MAR由锁存器(74HC574,锁存写⼊的地址数据)、三态门(74HC245、控制锁存器中的地址数据是否输出到地址总线上)、8个发光⼆极管(显⽰锁存器中的地址数据)组成。
T2、T3由CON单元提供,按⼀次CON单元的uSTEP键,时序单元发出T1信号;按⼀次uSTEP键,时序单元发出T2信号;按⼀次uSTEP键,时序单元发出T3信号;再按⼀次uSTEP键,时序单元⼜发出T1信号,……按⼀次STEP键,相当于按了三次uSTEP键,依次发出T1、T2、T3信号。
其余信号由开关区单元的拨动开关模拟给出,其中M_nI/O应为⾼(即对MEM 读写操作)电平有效,nRD、nWR、wMAR、nMAROE、IN单元的nCS、nRD 都是低电平有效。
张晴《计算机组成原理》计算机组成原理实验
理解总线的概念,掌握总线传输特性
二、实验设备
TDN-CM++实验仪一套 三、实验内容
用实验台搭建一个总线电路,实现以下四步数据流的传输
1、 INPUT →R0 3、RO →RAM
2、INPUT →AR 4、RAM →LED
四、实验步骤 1、连接实验线路 2、按P30所示的流程进行操作 3、查看RAM中数据与LED上数据是否一致
三、实验内容 用实验台提供的6116芯片搭建一个可读写的RAM电路,
完成读写操作
四、实验步骤 1、连接实验线路 2、根据实验原理图及读写操作步骤,完成向25H~2AH单
元写入75H~7AH的数据,然后读出 3、记录实验结果
存 储 器 实 验 原 理 图
实验线路
注:
A7…A0 => AD7…AD0
4、STA R0
R0 →RAM
5、JMP ADDR
RAM →PC
四、实验步骤 1、连接实验线路 2、根据24位微指令格式及指令功能编写相应微程序,按P25所写步骤
将微程序写入ROM(或者) 3、输入微程序,共有两种方法: (1)通过开关手动输入
①将编程开关置为PROM,STEP置为STEP,STOP置为RUN ②在SWITCH UNIT用开关置微地址MA5-MA0 ③在MK24-MK1开关上置24位微码 ④启动时序电路,按START按钮 ⑤重复③- ④步,完成输入所有微码
总线传输原理图
实 验 线 路
总线基本实验操作步骤
初始化:LDAR和LDR0信号置0,其他信号均置1 W/R(RAM)为0表示存储器写,为1表示存储器读 所有三态门(SW-B,CE,R0-B,LED-B)信号均为0有效 脉冲信号为LDAR和LDR0上升沿,W/R(LED)为下降沿
计算机组成原理实验报告
计算机组成原理实验报告计算机组成原理实验报告姓名:专业:计算机科学与技术学号:计算机组成原理实验(⼀)实验题⽬:时标系统的设置和组合成绩:⼀、实验⽬的1、了解时标系统的作⽤2、会设计、组装简单的时标发⽣器⼆、实验内容参照时标系统的设计⽅法,⽤组合逻辑⽅法设计⼀个简单的节拍脉冲发⽣器,产⽣图1-6所⽰的节拍脉冲,并⽤单脉冲验证设计的正确性。
在实验报告中画出完整电路,写出1W 、0W 和1N 的表达式。
图1-6 简单的节拍脉冲发⽣器⼀周期的波形设计提⽰:1、由波形图求出节拍脉冲1W 和0W 的表达式,进⽽组合成1N 的表达式。
2、注意节拍电平1T 和0T 的翻转时刻应在0M 下降沿与M 的上升沿同时出现的时刻。
3、注意D 触发器的触发翻转要求。
三、实验仪器及器材1、计算机组成原理实验台和+5V 直流稳压电源2、集成电路由附录A “集成电路清单”内选⽤四、实验电路原理(实验电路原理图)时标系统主要由时钟脉冲发⽣器、启停电路和节拍脉冲发⽣器三部分组成成,结构如图1-1所⽰。
图1-1 时标系统组成1、时钟脉冲发⽣器主要由振荡电路、分频电路组成,其作⽤是产⽣⼀定频率的时钟脉冲,作为计算机中基准时钟信号。
如图1-2所⽰。
图1-2 时钟脉冲发⽣器组成2、启停电路计算机是靠⾮常严格的节拍脉冲,按时间的先后次序⼀步⼀步地控制各部件⼯作的,所以,机器启停的标志是有⽆节拍脉冲,⽽控制节拍脉冲按⼀定的时序发⽣和停⽌,不能简单地⽤电源开关来实现。
如图1-3所⽰。
图1-3 简单的启停电路为了使机器可靠地⼯作,要求启停电路在机器启动或停机时,保证每次从规定的第⼀个脉冲开始启动,到最后⼀个脉冲结束才停机,并且必须保证第⼀个和最后⼀个脉冲的波形完整。
如图1-4所⽰。
图1-4 利⽤维持阻塞原理的启停电路3、节拍脉冲发⽣器节拍脉冲发⽣器的作⽤是产⽣⼀序列的节拍电平和⼯作脉冲。
节拍电平是保证计算机微操作的时序性,⼯作脉冲是各寄存器数据的打⼊脉冲。
计算机组成原理实验报告
计算机组成原理实验报告计算机组成原理实验报告引言:计算机组成原理是计算机科学与技术专业的重要课程之一,通过实验可以更好地理解和掌握计算机的组成原理。
本篇实验报告将介绍我们在计算机组成原理实验中所进行的实验内容和实验结果。
实验一:逻辑门电路设计在这个实验中,我们学习了逻辑门电路的设计和实现。
通过使用门电路,我们可以实现与门、或门、非门等基本逻辑运算。
我们首先学习了逻辑门电路的真值表和逻辑代数的基本运算规则,然后根据实验要求,使用逻辑门电路设计了一个简单的加法器电路,并通过仿真软件进行了验证。
实验结果表明,我们设计的加法器电路能够正确地进行二进制数的加法运算。
实验二:数字逻辑电路实现在这个实验中,我们进一步学习了数字逻辑电路的实现。
通过使用多路选择器、触发器等数字逻辑元件,我们可以实现更复杂的逻辑功能。
我们首先学习了多路选择器的原理和使用方法,然后根据实验要求,设计了一个4位二进制加法器电路,并通过数字逻辑实验板进行了搭建和测试。
实验结果表明,我们设计的4位二进制加法器能够正确地进行二进制数的加法运算。
实验三:存储器设计与实现在这个实验中,我们学习了存储器的设计和实现。
存储器是计算机中用于存储和读取数据的重要组成部分。
我们首先学习了存储器的基本原理和组成结构,然后根据实验要求,设计了一个简单的8位存储器电路,并通过实验板进行了搭建和测试。
实验结果表明,我们设计的8位存储器能够正确地存储和读取数据。
实验四:计算机硬件系统设计与实现在这个实验中,我们学习了计算机硬件系统的设计和实现。
计算机硬件系统是计算机的核心部分,包括中央处理器、存储器、输入输出设备等。
我们首先学习了计算机硬件系统的基本原理和组成结构,然后根据实验要求,设计了一个简单的计算机硬件系统,并通过实验板进行了搭建和测试。
实验结果表明,我们设计的计算机硬件系统能够正确地进行指令的执行和数据的处理。
结论:通过这些实验,我们深入学习了计算机组成原理的相关知识,并通过实践掌握了计算机组成原理的基本原理和实现方法。
计算机组成原理实验报告
实验1 通用寄存器实验一、实验目的1.熟悉通用寄存器的数据通路。
2.了解通用寄存器的构成和运用。
二、实验要求掌握通用寄存器R3~R0的读写操作。
三、实验原理实验中所用的通用寄存器数据通路如下图所示。
由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。
图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。
RWR为寄存器数据写入使能,DI、OP为目的寄存器写选通。
DRCK信号为寄存器组打入脉冲,上升沿有效。
准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。
图2-3-3 通用寄存器数据通路四、实验内容1.实验连线2.寄存器的读写操作①目的通路当RWR=0时,由DI、OP编码产生目的寄存器地址,详见下表。
通用寄存器“手动/搭接”目的编码②通用寄存器的写入通过“I/O输入输出单元”向R0、R1寄存器分别置数11h、22h,操作步骤如下:通过“I/O输入输出单元”向R2、R3寄存器分别置数33h、44h,操作步骤如下:③源通路当X2~X0=001时,由SI、XP编码产生源寄存器,详见下表。
通用寄存器“手动/搭接”源编码④通用寄存器的读出五、实验心得通过这个实验让我清晰的了解了通用寄存器的构成以及通用寄存器是如何运用的,并且熟悉了通用寄存器的数据通路,而且还深刻的掌握了通用寄存器R3~R0的读写操作。
实验2 运算器实验一、实验目的掌握八位运算器的数据传输格式,验证运算功能发生器及进位控制的组合功能。
二、实验要求完成算术、逻辑、移位运算实验,熟悉ALU运算控制位的运用。
三、实验原理实验中所用的运算器数据通路如图2-3-1所示。
ALU运算器由CPLD描述。
运算器的输出FUN经过74LS245三态门与数据总线相连,运算源寄存器A和暂存器B的数据输入端分别由2个74LS574锁存器锁存,锁存器的输入端与数据总线相连,准双向I/O输入输出端口用来给出参与运算的数据,经2片74LS245三态门与数据总线相连。
计算机组成原理实验项目及实验报告
计算机组成原理实验项目实验一运算器组成(2学时)验证性实验内容:使用181四位算术逻辑芯片实现八位算术逻辑运算实验。
基本要求:1、掌握简单运算器的数据传送通路;2、验证运算功能发生器(74LS181)的组合功能。
实验二存储器原理(2学时)验证性实验内容:通过总线系统验证存储器的存储功能。
基本要求:1、掌握静态随机存储器RAM工作特性及数据的读写方法;2、掌握存储器读/写电路的设计方法。
实验三寄存器实验(2学时)验证性实验内容:使用八位寄存器验证寄存器的存储功能。
基本要求:1、掌握寄存器操作时序。
2、掌握寄存器电路的连接方法。
实验四时序生成电路实验(2学时)设计性实验内容:验证控制器所需要的T1~T4的生成。
基本要求:1、掌握模型机时序特征;2、设计时序生成电路。
3、通过示波器验证T1~T4的状态周期。
实验五微程序控制器(2学时)验证性实验内容:使用模型机验证微指令与微操作的关系,验证微程序执行时序。
基本要求:1、掌握时序产生器的工作原理和组成原理;2、掌握微程序的编制、写入、观察微程序的执行;3、掌握硬布线控制器的组成原理、设计方法;4、了解硬布线控制器和微程序控制器的各自优缺点。
掌握简单运算器的数据传送通路。
三、主要仪器设备计算机、Proteus仿真软件、模型机仿真软件计算机硬件实验室实验报告课程名称:姓名学号班级成绩设备名称及软件环境实验名称实验日期一.实验内容题目及要求二.理论分析或算法分析芯片功能以及芯片真值表三.实现方法(含实现思路、程序流程图、实验电路图和源程序列表等)电路图及相关说明四.实验结果分析(含执行结果验证、输出显示信息、图形、调试过程中所遇的问题及处理方法等)结果真值表,运行态抓图,以及相关的说明五.结论验证了什么?和题目要求对应报告提交日期(注意:内容写不下时可另附页。
)。
计算机组成原理实验报告
实验步骤:(要求配图及说明性文字)
一、对6116进行配置,使/CS与/WE都为低电平,/OE不作要求,保证存储芯片有效
1、向09H地址写入数据F0H,显示结果如图所示:
2.依据熟悉的74LS138译码器,学习使用74LS244或74LS374。对其中一个进行三次以上配置实验总结其功能性说明。
实验步骤:(要求配图及说明性文字)
一、对于74LS138译码器,控制G1、G2A、G2B为1、0、0,再对输入端进行配置,查看/Y0~/Y7的有效信号:
1、输入端C、B、A分别是0、0、0,有效信号是/Y0,结果如下图所示:
图2-2
3、向FEH地址写入5BH,显示结果如图所示:
图2-3
二、对74LS244译码器进行四次配置,实验结果分别如下图所示
图2-1
图2-2
图2-3
图2-4
从上面四次简单配置中,可以看出每种输入都对应一种输出,具体有以下几个结论:
1、/OC1、/OC2分别控制输出端1Y、2Y的高阻态,若为1则输出端是高阻态,否则不是;
2、输入端1A、2A分别控制输出端1Y、2Y的输出信号的有效性,若为1,输出则无效,否则有效;
图1-5
6、输入端C、B、A分别是1、0、1,有效信号是/Y5,结果如下图所示:
图1-6
7、输入端C、B、A分别是1、1、0,有效信号是/Y6,结果如下图所示:
图1-7
8、输入端C、B、A分别是1、1、1,有效信号是/Y7,结果如下图所示:
图1-8
如上图所示,要使/Y6有效各引脚的设置分别是0、1、1、0、0、1。
计算机组成原理独立实验
《计算机组成原理》实验报告教学班级:_11_ 学号:_111_ 姓名:__xx____课程教师:朱实验辅导教师:朱重庆理工大学计算机学院2017年6月(经典语录)实验一系统总线与总线接口教学班级:_ 学号:_ 姓名:_ _同组实验者:实验日期:__ 2017-4-13___实验地点:第一实验大楼B304(机房) 实验成绩:___________一、实验目的1、理解总线的概念及其特性。
2、掌握控制总线的功能和应用。
二、实验内容1、输入设备将一个数打入R0 寄存器。
2、输入设备将另一个数打入地址寄存器。
3、将R0 寄存器中的数写入到当前地址的存储器中。
4、将当前地址的存储器中的数用LED 数码管显示。
三、实验步骤1.连接好实验线路2. 输入设备将11H 打入R0 寄存器。
3将R0 中的数据11H 打入存储器01H 单元。
4将当前地址的存储器中的数写入到R0 寄存器中。
5将R0 寄存器中的数用LED 数码管显示。
四、实验结果及说明存储数据到暂存器A存储数据到暂存器B输出AF=A+BF=A逻辑右移一位F=A 带进位循环左移一位F=A减B五、实验分析与心得1.本实验在实验七基本模型机的基础上增加移位控制电路,实现移位控制运算.第一个输入的数据为11100000,待微程序运行到第五条微指令时输入第二个数据01001001,第一个数据与0DH相加后产生一个进位标志CF=1,在下面的程序中进行带进位的右移,然后又进行数据循环左移一位,最后出结果为01001000.2.通过实验验证及自己笔算,可以知道实验是正确的.带移位运算的模型机设计成功.并且可以实现.实验二静态随机存储器实验教学班级:_11103070A_ 学号:_11103070421_姓名:_田野_同组实验者:倪长贵实验日期:___2013-04-27___实验地点:第一实验大楼B304(机房) 实验成绩:___________ 一、实验目的掌握静态随机存储器RAM 工作特性及数据的读写方法。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
王博韬:代码编写,工程综合
李皓楠:资料查找,结果测试
2:begin
ans=8'b11111011;
digit=s[11:8];
end
3:begin
ans=8'b11110111;
digit=s[15:12];
end
4:begin
ans=8'b11101111;
digit=s[19:16];
end
5:begin
ans=8'b11011111;
digit=s[23:20];
if(reset)
count=0;
else
count=count+1;
always @(posedge clk)
case(count[20:18])
0:begin
ans=8'b11111110;
digit=s[3:0];
end
1:begin
ans=8'b11111101;
digit=s[7:4];
end
input wire [3:0]din,
output reg [6:0]dout
);
always@(*)
case(din)
5'h0:dout = 7'b000_0001;
5'h1:dout = 7'b100_1111;
5'h2:dout = 7'b001_0010;
5'h3:dout = 7'b000_0110;
原理图:
关键步骤:
calculate,display的封装与对应原理图的连线;七段数码管的引脚分配
核心代码:
calculate:
module calculate(
input wire [7:0] num1,
input wire [2:0] op,
output reg [31:0] result
);
reg [31:0] num2;
end
6:begin
ans=8'b10111111;
digit=s[27:24];
end
7:begin
ans=8'b01111111;
digit=s[31:28];
end
endcase
seg7_0 seg7(.din(digit),.dout(seg));
endmodule
四、实验结果及分析和(或)源程序调试过程
endmodule
display:
module display(
input clk,reset,
input wire [31:0]s,
output wire [6:0]seg,
output reg [7:0]ans
);
reg [20:0]count;
reg [4:0]digit;
always@(posedge clk,posedge reset)
3'b010:result=Sign_extend&num2;
3'b011:result=Sign_extend|num2;
3'b100:result=~Sign_extend;
default:result=32'h00000000;
endcase
end
endmodule
seg7:
module seg7(
5'h4:dout = 7'b100_1100;
5'h5:dout = 7'b010_0100;
5'h6:dout = 7'b010_0000;
5'h7:dout = 7'b000_1111;
5'h8:dout = 7'b000_0000;
5'h9:dout = 7'b000_0100;
5'ha:dout = 7'b000_1000;
5'hb:dout = 7'b110_0000;
5'hc:dout = 7'b011_0001;
5'hd:dout = 7'b100_0010;
5'he:dout = 7'b011_0000;
5'hf:dout = 7'b011_1000;
default:dout = 7'b111_1111;
endcase
reg[31:0] Sign_extend;
always @(op) begin
num2 = 32'h00000001;
Sign_extend={24'h000000,num1[7:0]};
case(op)
3'b000:result=Sign_extend+num2;
3'b001:result=Sign_extend-num2;
评语:
评价教师签名(电子签名):
一、实验目的
1.了解运算器的组成结构。
2.掌握运算器的工作原理。
3.学习IP核的开发与应用。
二、实验项目内容
1.设计一个支持“加、减、与、或、非”5种运算的基本运算器。
2.调用显示模块将结果显示到7段数码管上。
3.下载到开发板进行验证。
三、实验过程或算法(包括真值表、原理图、关键步骤、核心代码等)
《计算机组成原理》实验报告
姓名
王博韬,李皓楠
年级
2015
学号
20154320,20154336
专业、班级
计科6班
实验名称
实验一运算器实验实Βιβλιοθήκη 时间实验地点主教404
实验成绩
实验性质
验证性□设计性□综合性
教师评价:
□算法/实验过程正确;□源程序/实验内容提交□程序结构/实验步骤合理;
□实验结果正确;□语法、语义正确;□报告规范;