南京邮电大学课程设计报告-简易数字频率计
课程设计报告(频率计)
设计题目:数字频率计的设计与制作一、课程设计的主要内容与目的1. 主要内容:数字频率计的主要功能是测量周期信号的频率,频率是单位时间内信号发生周期变化的次数,如果我们能在给定的1S时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。
数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来,这就是数字频率计的基本原理。
从数字频率计的基本原理出发,根据设计要求,得到如图1所示的电路框图。
图12. 设计目的:(1)掌握数字频率计的工作原理(2)根据课程设计,熟悉一般产品设计的流程和方法。
(3)重点掌握数字频率计设计的计数部分。
二、主要技术指标1.频率测量范围:10~9999HZ。
2.输入信号波形:任意周期信号,输入电压幅度>300mv.3.电源:220V,50HZ。
系统框图中各部分的功能及实现方法(1)电源与整流稳压电路框图中的电源采用50Hz的交流市电。
市电被降压、整流、稳压后为整个系统提供直流电源。
系统对电源的要求不高,可以采用串联式稳压电源电路来实现。
(2)全波整流与波形整形电路本频率计采用市电频率作为标准频率,以获得稳定的基准时间。
按国家标准,市电的频率漂移不能超过0.5Hz,即在1%的范围内。
用它作普通频率计的基准信号完全能满足系统的要求。
全波整流电路首先对50Hz交流市电进行全波整流,得到如图2(a)所示100Hz的全波整流波形。
波形整形电路对100Hz信号进行整形,使之成为如图2(b)所示100Hz的矩形波。
波形整形可以采用过零触发电路将全波整流波形变为矩形波,也可采用施密特触发器进行整形。
图2 全波整流与波形整形电路的输出波形(3)分频器分频器的作用是为了获得1S的标准时间。
电路首先对图2所示的100Hz信号进行100分频得到如图3(a)所示周期为1S的脉冲信号。
数字频率计课程设计报告
《数字频率计》技术报告一、问题的提出在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。
频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速地跟踪捕捉到被测信号频率的变化。
而频率计则能够快速准确的捕捉到被测信号频率的变化。
在传统的生产制造企业中,频率计被广泛的应用在生产测试中。
频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。
在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。
在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。
数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以由有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。
二、解决技术问题及指标要求1、技术指标被测信号:正弦波、方波或其他连续信号;采样时间:1秒(0.1秒、10秒);显示时间:1秒(2秒、3秒......);LED显示;灵敏度:100mV;测量误差:±1H z。
数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。
其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。
一般T=1s,所以应要求定时器尽量输出为1s的稳定脉冲。
2、设计要求可靠性:系统准确可靠。
稳定性:灵敏度不受环境影响。
经济性:成本低。
重复性:尽量减少电路的调试点。
低功耗:功率小,持续时间长。
三、方案可行性分析(方案结构框图)1、原理框图宽测量仪;可以测量电容做成数字式电容测量仪;在电路中增加传感器,还可以做成数字脉搏仪、计价器等。
数字频率计课程设计报告
数字频率计课程设计报告一、课程目标知识目标:1. 让学生理解数字频率计的基本原理,掌握频率、周期等基本概念;2. 使学生掌握数字频率计的使用方法,能够正确操作仪器进行频率测量;3. 引导学生运用已学的数学知识,对测量数据进行处理,得出正确结论。
技能目标:1. 培养学生动手操作仪器的技能,提高实验操作能力;2. 培养学生运用数学知识解决实际问题的能力,提高数据分析处理技能;3. 培养学生团队协作能力,提高实验过程中的沟通与交流技巧。
情感态度价值观目标:1. 培养学生对物理实验的兴趣,激发学习热情;2. 培养学生严谨的科学态度,养成实验过程中认真观察、准确记录的好习惯;3. 引导学生认识到物理知识在实际应用中的价值,提高学以致用的意识。
课程性质:本课程为物理实验课,结合数字频率计的原理与应用,培养学生的实践操作能力和数据分析能力。
学生特点:六年级学生具备一定的物理知识和数学基础,对实验操作充满好奇,具备初步的团队合作能力。
教学要求:结合学生特点,注重理论与实践相结合,以学生为主体,引导学生主动参与实验过程,培养其动手能力和解决问题的能力。
通过课程目标的分解,使学生在实验过程中达到预期的学习成果,为后续教学设计和评估提供依据。
二、教学内容1. 数字频率计基本原理:- 频率、周期的定义与关系;- 数字频率计的工作原理;- 数字频率计的测量方法。
2. 实验操作技能:- 数字频率计的操作步骤;- 实验过程中的注意事项;- 数据记录与处理方法。
3. 教学大纲:- 第一课时:介绍数字频率计的基本原理,让学生了解频率、周期的概念及其关系;- 第二课时:讲解数字频率计的工作原理,引导学生掌握其操作方法;- 第三课时:分组进行实验操作,让学生动手测量不同频率的信号;- 第四课时:对测量数据进行处理与分析,培养学生数据分析能力;- 第五课时:总结实验结果,讨论实验过程中遇到的问题及解决办法。
4. 教材章节:- 《物理》六年级下册:第六章《频率与波长》;- 《物理实验》六年级下册:实验八《数字频率计的使用》。
简易频率计课程设计报告
简易频率计课程设计报告一、课程目标知识目标:1. 理解频率的基本概念,掌握频率的定义及计算方法;2. 了解简易频率计的原理,学会使用简易频率计进行频率测量;3. 能够运用频率知识解释日常生活中的相关现象。
技能目标:1. 学会使用简易频率计进行实验操作,提高实验操作能力;2. 能够运用频率计算公式进行数据处理,提高数据分析能力;3. 通过小组合作,提高沟通协作能力。
情感态度价值观目标:1. 培养学生对物理实验的兴趣,激发学生的探究欲望;2. 培养学生严谨的科学态度,注重实验数据的准确性;3. 增强学生的环保意识,关注频率相关领域的科技发展。
本课程针对初中物理学科,结合学生年级特点,注重理论与实践相结合,提高学生的实验操作能力和数据分析能力。
在教学过程中,关注学生的个体差异,激发学生的学习兴趣,培养学生的团队合作精神。
通过本课程的学习,使学生能够掌握简易频率计的使用,并将其应用于实际生活中,达到学以致用的目的。
同时,注重情感态度价值观的培养,引导学生关注科学进步,提高学生的综合素质。
二、教学内容1. 频率基本概念:引入频率的定义,解释频率与周期的关系,阐述频率在实际应用中的重要性。
2. 简易频率计原理:介绍简易频率计的结构、工作原理及使用方法,结合教材相关章节,进行图文并茂的讲解。
3. 频率测量实验:组织学生进行简易频率计的实验操作,包括搭建实验装置、进行频率测量以及数据处理。
- 教材章节:第三章第三节《频率与振动》- 内容列举:频率的定义、频率与周期的关系、简易频率计的结构与原理、实验操作步骤。
4. 数据处理与分析:指导学生运用频率计算公式进行数据处理,分析实验结果,探讨影响频率测量结果的因素。
5. 课堂讨论与总结:针对实验过程中遇到的问题和现象,组织学生进行讨论,引导学生运用所学知识进行解释,总结实验经验和教训。
教学内容根据课程目标进行科学性和系统性的组织,注重理论与实践相结合。
在教学过程中,依据教材章节进行教学大纲的制定,明确教学内容的安排和进度,确保学生在掌握频率知识的基础上,能够顺利进行简易频率计的实验操作和数据分析。
简易数字频率计课程设计报告
一、课题名称与技术要求<1>名称:简易数字频率计<2>主要技术指标和要求:1. 被测信号的频率X围100HZ~100KH2. 输入信号为正弦信号或方波信号3. 四位数码管显示所测频率,并用发光二极管表示单位4. 具有超量程报警功能二、摘要以门电路,触发器和计数器为核心,由信号输入、放大整形、闸门电路、计数、数据处理和数据显示等功能模块组成。
放大整型电路:对被测信号进行预处理;闸门电路:由与门电路通过控制开门关门,攫取单位时间内进入计数器的脉冲个数;时基信号:周期性产生一秒高电平信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间内脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。
关键字:比较器,闸门电路,计数器,锁存器,逻辑控制电路三、方案论证与选择<1>频率测量原理与方法对周期信号的测量方法,常用的有下述几种方法。
1、测频法(M法)对频率为f的周期信号,测频法的实现方法,是用以标准闸门信号对被测信号的重复周期数进行计数,当计数结果为N时,其频率为:f1=N1/TG。
TG为标准闸门宽度,N1是计数器计出的脉冲个数,设在TG期间,计数器的精确计数值为N,根据计数器的技术特性可知,N1的绝对误差是△N1=N ±1,N1的相对误差为&N1=(N1-N)/N=(N±1-N)/N=±1/N,由N1的相对误差可知,N(或N1)的数值愈大,相对误差愈小,成反比关系。
因此,在f已确定的条件下,为减小N1的相对误差,可通过增大TG的方法来降低测量误差。
但是,增大TG会使频率测量的响应时间长。
当TG为确定值时(通常取TG=1s),则有f=N,固有f1的相对误差:&f1=(f1-f)/f=(f±1-f)/f=±1/f由上式可知,f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。
简易数字频率计课程设计报告
简易数字频率计课程设计报告《简易数字频率计课程设计报告》一、设计目的和背景随着科技的不断发展和普及,计算机已经成为人们生活中不可或缺的一部分。
而数字频率计作为一种常见的电子测量仪器,在工业控制、电信通讯等领域有着广泛的应用。
本课程设计旨在通过设计一款简易的数字频率计,以帮助学生深入了解数字频率计的工作原理和设计方法。
二、设计内容和步骤1. 学习数字频率计的基本原理和工作方式:介绍数字频率计的基本功能、硬件组成和工作原理。
2. 设计数字频率计的主要电路:通过研究数字频率计的电路原理图,设计出适用于本设计要求的主要电路。
3. 制作数字频率计的原型:使用电子元器件将电路图中设计的电路进行实际制作,制作出数字频率计的原型。
4. 测试数字频率计的性能:通过对数字频率计进行各种频率波形的测试,验证其测量准确性和稳定性。
5. 优化和改进设计:根据测试结果和用户反馈,对数字频率计的电路和功能进行进一步优化和改进。
三、预期效果和评价标准通过本课程设计,预期学生能够掌握数字频率计的基本工作原理、主要电路设计和制作方法,并且能够针对实际需求进行优化和改进。
评价标准主要包括学生对数字频率计原理的理解程度、电路设计的准确性和创新性,以及对数字频率计性能进行测试和改进的能力。
四、开展方式和时间安排本课程设计可以结合理论学习和实践操作进行,建议分为以下几个阶段进行:1. 第一阶段(1周):学习数字频率计的基本原理和工作方式。
2. 第二阶段(1周):设计数字频率计的主要电路。
3. 第三阶段(2周):制作数字频率计的原型,并进行性能测试。
4. 第四阶段(1周):优化和改进数字频率计的设计。
总共需要约5周的时间来完成整个课程设计。
五、所需资源和设备1. 教材教辅资料:提供数字频率计的基本原理和电路设计方法的教材或教辅资料。
2. 实验设备和工具:数字频率计的主要电路所需的电子元器件、测试仪器和焊接工具等。
3. 实验环境:提供安全、稳定的实验室环境,以及必要的计算机软件支持。
简易数字频率计设计 完整版
河南科技大学课程设计说明书课程名称现代电子系统设计题目简易数字频率计设计学院__电信学院_____班级_______学生姓名____________________指导教师_________日期__2010-01-10______课程设计任务书(指导教师填写)课程设计名称现代电子系统课程设计学生姓名刘轮辉专业班级电信科071 设计题目简易数字频率计设计一、课程设计目的掌握高速AD的使用方法;掌握频率计的工作原理;掌握GW48_SOPC实验箱的使用方法;了解基于FPGA的电子系统的设计方法。
二、设计内容、技术条件和要求设计一个具有如下功能的简易频率计。
(1)基本要求:a.被测信号的频率范围为1~20kHz,用4位数码管显示数据。
b.测量结果直接用十进制数值显示。
c.被测信号可以是正弦波、三角波、方波,幅值1~3V不等。
d.具有超量程警告(可以用LED灯显示,也可以用蜂鸣器报警)。
e.当测量脉冲信号时,能显示其占空比(精度误差不大于1%)。
(2)发挥部分a.修改设计,实现自动切换量程。
b.构思方案,使整形时,以实现扩宽被测信号的幅值范围。
三、时间进度安排布置课题和讲解:1天查阅资料、设计:4天实验:3天撰写报告:2天四、主要参考文献何小艇《电子系统设计》浙江大学出版社2008.1潘松黄继业《EDA技术实用教程》科学出版社2006.10指导教师签字:2009年12月14日目录一、摘要 (4)二、系统方案论证 (4)2.1频率测量方案 (5)三、数字频率频率计的基本原理 (6)四、各个模块设计 (7)4、1 A/D模数转换模块 (8)4、2 比较模块 (9)4、3 频率和占空比测量模块 (10)五、各个模块仿真波形 (12)六、心得体会 (14)七、参考文献 (15)附录一 (16)附录二 (22)一.摘要频率计是数字电路中的一个典型应用,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,频率测量在科技研究和实际应用中的作用日益重要。
课程设计实验报告(简易频率计)
实验二:简易电子琴一、实验目的(1)学习采用状态机方法设计时序逻辑电路。
(2)掌握ispLEVER 软件的使用方法。
(3)掌握用VHDL 语言设计数字逻辑电路。
(4)掌握ISP 器件的使用。
二、实验所用器件和设备在系统可编程逻辑器件ISP1032一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容设计一个简易频率计,用于测量1MHz 以下数字脉冲信号的频率。
闸门只有1s 一档。
测量结果在数码管上显示出来。
不测信号脉宽。
用一片ISP芯片实现此设计,并在实验台上完成调试。
实验设计:1.产生准确闸门信号(1s)。
100kHz时钟经100K分频(一次完成),再经2分频产生方波,1s 用于计数,1s用于显示结果(及清零);2. 利用闸门信号控制一个计数器对被测脉冲信号进行计数, 1s内计数的结果就是被测信号的频率;3.每次对被测信号计数前,自动清零;4. 计数器采用十进制;5.显示频率值上下浮动,要修改源代码。
6.数码管高低位的接线。
VHDL源代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity fget isport(clk:in std_logic; --输入时钟源 bclk:in std_logic;kout:out std_logic_vector(26 downto 0));end fget;architecture top of fget iscomponent divideport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end component;component gateport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0));end component;component saveport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end component;signal scl:std_logic;signal sco:std_logic;signal sro:std_logic;signal sop:std_logic_vector(26 downto 0);BEGINu1:divide PORT MAP(clk,scl,sco,sro);u2:gate PORT MAP(bclk,scl,sco,sop);u3:save PORT MAP(sop,sro,kout);end;--闸门模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity gate isport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0) --5个8421,一个七段译码);end;architecture art2 of gate iscomponent cnt10 --十进制计数模块port(clk2:in std_logic;en:in std_logic;--使能端clr:in std_logic;--重置qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end component;component seventranse --七段模块port(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end component;signal scout1:std_logic;--输出(十进制)signal scout2:std_logic;signal scout3:std_logic;signal scout4:std_logic;signal scout5:std_logic;signal scout6:std_logic;signal qout1:std_logic_vector(3 downto 0);--8421(下同)signal qout2:std_logic_vector(3 downto 0);signal qout3:std_logic_vector(3 downto 0);signal qout4:std_logic_vector(3 downto 0);signal qout5:std_logic_vector(3 downto 0);signal qout6:std_logic_vector(3 downto 0);signal op2: std_logic_vector(6 downto 0);--七段beginr1:cnt10 PORT MAP(bc1,co1,cl1,qout1,scout1);r2:cnt10 PORT MAP(scout1,co1,cl1,qout2,scout2);r3:cnt10 PORT MAP(scout2,co1,cl1,qout3,scout3);r4:cnt10 PORT MAP(scout3,co1,cl1,qout4,scout4);r5:cnt10 PORT MAP(scout4,co1,cl1,qout5,scout5);r6:cnt10 PORT MAP(scout5,co1,cl1,qout6,scout6);t1:seventranse PORT MAP(qout6,op2);process(co1)beginif (co1'event and co1='0') thenop<=op2&qout5&qout4&qout3&qout2&qout1;--结果显示end if;end process;end;--寄存器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity save isport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end entity;architecture art4 of save issignal temp:std_logic_vector(26 downto 0);beginprocess(ro1)beginif ro1'event and ro1='1'then --上升沿判断temp<=op1;end if;eout<=temp;end process;end art4;--十进制计数器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity cnt10 isport(clk2:in std_logic;en:in std_logic;clr:in std_logic;qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end entity;architecture art3 of cnt10 issignal temp:std_logic_vector(3 downto 0 );beginprocess(clk2,en,clr)beginif (clr='1') then --重置时清0temp<="0000";elsif (en='1') thenif(clk2'event and clk2='1')then --上升沿判断进位if(temp="1001")thentemp<="0000";elsetemp<=temp+1;end if;end if;end if;end process;qout<=temp;cout<='1' when temp="1001" else '0';end art3;--分频模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity divide isport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end;architecture art1 of divide issignal preclk:std_logic;signal temp1:std_logic;signal temp2:std_logic;beginp1: process(clk1) --先进行2500分频variable count:integer range 0 to 2500;beginif clk1'event and clk1='1'thenif count=2499 thencount:=0;preclk<='1';else count:=count+1;preclk<='0';end if;end if;end process;p2: process(preclk) --依次二分频实现闸门beginif preclk'event and preclk='1'thentemp2<=not temp2;end if;end process;p3: process(temp2)variable count2:std_logic;beginif temp2'event and temp2='1'thencount2:=not count2;if count2='1'thenco<='1';temp1<='0';else co<='0';temp1<='1';end if;end if;end process;p4:process(temp2)beginif (temp1='1' and temp2='0') thencl<='1';elsecl<='0';end if;end process;ro<=temp1;end;--七段译码器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity seventranse isport(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end entity;architecture art5 of seventranse isbeginprocess(clk6)begincase clk6 iswhen "0000"=> op2<="1111110";when "0001"=> op2<="0110000";when "0010"=> op2<="1101101";when "0011"=> op2<="1111001";when "0100"=> op2<="0110011";when "0101"=> op2<="1011011";when "0110"=> op2<="1011111";when "0111"=> op2<="1110000";when others=> op2<="0000000";end case;end process;end art5;四、实验小结:实验要求用闸门信号控制计数器计时,于是在十进制计数器模块中添加使能信号en(en=‘1’计数器进行加1 计数,en=‘0’时计数器保持),将闸门信号作为使能信号接入,即可实现1s计数,1s显示。
简易数字频率计设计报告
一.系统设计方案根据系统设计要求,需要实现一个4位十进制数字频率计,其原理框图如图1所示。
主要由脉冲发生器电路、测频控制信号发生器电路、待测信号计数模块电路、锁存器、七段译码驱动电路及扫描显示电路等模块组成。
图1数字频率计组成原理框图由于是4位十进制数字频率计,所以计数器T10需用4个,7段显示译码器也需用4个。
频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。
为此,测频控制信号发生器F_IN_T应设置一个控制信号时钟CLK,一个计数使能信号输出端EN、一个与EN输出信号反向的锁存输出信号LOCK和清零输出信号CLR。
若CLK的输入频率为1HZ,则输出信号端EN输出一个脉宽恰好为1秒的周期信号,可以作为闸门信号用。
由它对频率计的每一个计数器的使能端进行同步控制。
当EN高电平时允许计数,低电平时停止计数,并保持所计的数。
在停止计数期间,锁存信号LOCK的上跳沿将计数器在前1秒钟的计数值锁存进4位锁存器LOCK,由7段译码器译出并稳定显示。
设置锁存器的好处是:显示的数据稳定,不会由于周期性的清零信号而不断闪烁。
锁存信号之后,清零信号CLR对计数器进行清零,为下1秒钟的计数操作作准备。
二.单元电路设计:1.时基产生与测频时序控制电路模块时基产生与测频时序控制电路主要产生计数允许信号EN、清零信号CLR和锁存信号LOCK。
时基产生电路:图2 时基产生模块顶层图其VHDL程序清单如下:--CLK_SX_CTRLLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CLK_SX_CTRL ISPORT(CLK: IN STD_LOGIC;LOCK: OUT STD_LOGIC;EN: OUT STD_LOGIC;CLR: OUT STD_LOGIC);END;ARCHITECTURE ART OF CLK_SX_CTRL ISSIGNAL Q: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF(CLK'EVENT AND CLK='1')THENIF Q="1111"THENQ<="0000";ELSEQ<=Q+'1';END IF;END IF;EN<=NOT Q(3);LOCK<=Q(3)AND NOT(Q(2))AND Q(1);CLR<=Q(3)AND Q(2)AND NOT(Q(1));END PROCESS;END ART;测频时序控制电路:为实现系统功能,控制电路模块需输出三个信号:一是控制计数器允许对被测信号计数的信号EN;二是将前一秒计数器的计数值存入锁存的锁存信号LOCK;三是为下一个周期计数做准备的计数器清零信号CLR。
简易数字频率计报告
长安大学电子技术课程设计课题名称简易数字频率计班级___ ______姓名____ _ ________指导教师日期____ ____声明:首先这个不是论文的格式,老师如果要求写论文就自己改吧!其次,老师都是按自己和往届的思路给分的,这篇老师的评分不高,祝大家好运了!一、课题名称与技术要求<1>名称:简易数字频率计<2>主要技术指标和要求:1. 被测信号的频率范围100HZ~100KH2. 输入信号为正弦信号或方波信号3. 四位数码管显示所测频率,并用发光二极管表示单位4. 具有超量程报警功能二、摘要本课题主要选择以集成芯片作为核心器件,设计了一个简易数字频率计,以门电路,触发器和计数器为核心,由信号输入、放大整形、闸门电路、计数、数据处理和数据显示等功能模块组成。
放大整型电路:对被测信号进行预处理;闸门电路:由与门电路通过控制开门关门,攫取单位时间内进入计数器的脉冲个数;时基信号:周期性产生一秒高电平信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间内脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。
三、方案论证与选择<1>频率测量原理与方法对周期信号的测量方法,常用的有下述几种方法。
1、测频法(M法)对频率为f的周期信号,测频法的实现方法,是用以标准闸门信号对被测信号的重复周期数进行计数,当计数结果为N时,其频率为:f1=N1/TG。
TG为标准闸门宽度,N1是计数器计出的脉冲个数,设在TG期间,计数器的精确计数值为N,根据计数器的技术特性可知,N1的绝对误差是△N1=N±1,N1的相对误差为&N1=(N1-N)/N=(N±1-N)/N=±1/N,由N1的相对误差可知,N(或N1)的数值愈大,相对误差愈小,成反比关系。
因此,在f已确定的条件下,为减小N1的相对误差,可通过增大TG的方法来降低测量误差。
(完整版)简易数字频率计毕业课程设计论文
摘要频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。
通常情况下计算每秒内待测信号的脉冲个数,此时我们称基础时间为1秒。
基础时间也可以大于或小于一秒。
基础时间越长,得到的频率值就越准确,但基础时间越长则没测一次频率的间隔就越长。
基础时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。
本文数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。
关键词:数显、频率计、时基、protues仿真、555构成多谐振荡器简易数字频率计的设计数字频率计是直接用十进制数字来显示被测量信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波和尖端冲信号的频率,而且还可以测量它们的周期。
频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔 T 内测得这个周期性信号的重复变化次数为 N ,则其频率可表示为 f=NT 。
原理框图中,被测信号 Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。
时基电路提供标准时间基准信号Ⅱ,其高电平持续时间t1=1s,当1s信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到1s信号结束时闸门关闭,停止计数。
若在基础时间1S内计数器计得的脉冲个数为N,则被测信号频率fx=NHz。
逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生“0”脉冲Ⅴ,使计数器每次测量从零开始计数。
1.电路设计方案及其论证1-1 ICM7216D构成数字频率计电路图1.1由ICM7216D构成的数字频率计由ICM7216D构成的10MHZ频率计电路采用+5V单电源供电。
高精度晶体振荡器和构成10MHz并联振荡电路,产生时间基准频率信号,经内部分频后产生闸门信号。
输出分别连接到相应数码显示管上。
ICM7216D要求输入信号的高电平大于3.5V,低电平小于1.9V,脉宽大于50ns,所以实际应用中,需要根据具体情况增加一些辅助电路。
课程设计之简易数字频率计的设计
安康学院电子技术课程设计报告书课题名称:简易数字频率计的设计姓名:向XX学号:2010222XXX院系:电子与信息工程系专业:电子信息工程指导教师:张XX、吕XX时间:2012年6月课程设计项目成绩评定表设计项目成绩评定表设计报告书目录一、设计目的 (3)二、设计思路 (3)三、设计过程 (3)3.1、整体框图及原理 (3)3.2、放大整形电路 (3)3.3、闸门电路 (5)3.4、时基电路 (5)3.5、控制电路 (7)3.6、整体电路 (8)四、系统调试与结果 (9)五、主要元器件与设备 (10)六、课程设计体会与建议 (11)七、参考文献 (11)一、设计目的1、熟悉集成电路的引脚安排。
2、掌握芯片的逻辑功能及使用方法。
3、了解面包板结构及其接线方法。
4、了解简易数字频率计的组成及工作原理。
5、熟悉简易数字频率计的设计与制作。
二、设计思路1、设秒脉冲电路。
2、设计放大整形电路。
3、设计门控电路。
4、设计主控电路。
5、设计计数器和显示器三、设计过程3.1、整体框图及原理频率测量是通过在单位时间内对被测信号进行计数来实现的。
工作原理如图1所示。
图 13.2、放大整形电路对信号的放大功能由三触发器电路是一种特殊的数字器件,一般的数字电路器件当输入起过一定的阈值,其输出一种状态,当输入小于这个阈值时,转变为另一个状态,而施密特触发器不是单一的阈值,而是两个阈值,一个是高电平的阈值,输入从低电平向高电平变化时,仅当大于这个阈值时才为高电平,而从高电平向低电平变化时即使小于这个阈值,其仍看成为高电平,输出状态不这;低电平阈值具有相同的特点。
放大整形电路由三极管与与非门组成。
三极管构成的放大器将输入频率为fx 的周期信号如正弦波、三角波、等进行放大。
将电源电压设为5V ,当输入信号幅值比较大时,会出现线性失真,将放大后的波形幅度控制在5V 以内。
与非门构成施密特触发器对放大器的输出信号进行整形,使之成为矩形脉冲。
简易数字频率计课程设计
《电子技术》课程设计报告报告题目:作者所在系部:作者所在专业:作者所在班级:作者姓名:作者学号:指导教师姓名:完成时间:内容摘要数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器,其功能是测量正弦信号,方波信号,尖脉冲信号以及其他各种单位时间内变化的物理量,因此已经成为电路设计的常用原器件之一,有它不可取代的地位。
本课题要设计的是简易数字频率计,使其频率值以十进制的数在数码管上显示出来。
从而可以直接的看出频率值,相对比较直观,而且误差相对较小(误差约为1%)。
设计的数字频率计的测量范围是1HZ~9999HZ,显示的数值N是0001~9999。
该数字频率计将在频率测量方面显示出它独特的优越性。
关键字:整形锁存清零数显频率一、概述通过信号整形电路使被测频率Fx产生一个CP脉冲(闸门信号),通过时基电路产生高电平时间长度为1s低电平时间长度为0.25s方波信号。
通过计数器来测CP脉冲在一秒钟内的个数。
通过74LS273锁存其数据,通过译码器翻译,使七段数码管显示其数值N。
用四只LED数码管构成数字显示器。
数码管用来显示四位,均用十进制数表示,即数字显示器可显示出的最大数字和最小数字分别为9999和0。
响应时间T x不超过12s,即接上F X后,在12s之内,显示器所显示数字N,Fx的测量范围为0hz~9999hz。
二、方案设计与论证频率计是直接用十进制来显示被测信号频率的一种测量装置。
它可以测量正弦波、方波、三角波的频率。
利用施密特触发器将输入信号整形为方波,并利用计数器测量1s内脉冲的个数,利用锁存器锁存,稳定显示在数码管上。
常用的频率测量方法有以下四种。
1.测频法测频法的基本思想是:对频率为f的周期信号,用一个标准闸门信号(闸门宽度为Tg)对被测信号的重复周期数进行计数,当计数结果为N时,其信号频率为f=N/T G测频法的测量误差与信号频率有关:信号频率越高,误差越小;而信号频率越低,则测量误差越大。
简易数字频率计设计实验报告 2
电子线路课程设计报告第页共14页1电子线路课程设计报告姓名:学号:专业:日期:南京理工大学紫金学院电光系2014-4-131电子线路课程设计报告第页共14页2引言《电子线路课程设计》是一门理论和实践相结合的课程。
它融入了现代电子设计的新思想和新方法,架起一座利用单元模块实现电子系统的桥梁,帮助学生进一步提高电子设计能力。
对于推动信息电子类学科面向21世纪课程体系和课程内容改革,引导、培养大学生创新意识、协作精神和理论联系实际的学风,加强学生工程实践能力的训练和培养,促进广大学生踊跃参加课外科技活动和提高毕业生的就业率都会起到了良好作用。
该课程主要内容:(1)了解和掌握一个完整的电子线路设计方法和概念;(2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。
(3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用:包括放大器、滤波器、比较器、光电耦合器、单稳、逻辑控制、计数和显示电路等。
(4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。
(5)电子线路课程设计课题:设计并制作一个基于模电和数电的简易数字频率计。
2电子线路课程设计报告第页共14页3目录第一章设计要求 .................................................1.1 基本要求...........................................1.2 提高部分...........................................1.3 设计报告...........................................第二章整体方案设计 .............................................2.1 算法设计...........................................2.2 整体方框图及原理...................................单元电路设计 ............................................. 第三章 ....................................... 3.1 模电部分设计 ........................................ 3.1.1 放大电路 ........................................ 3.1.2 滤波电路比较电路........................................ 3.1.3模电总体电路.................................... 3.1.4数电部分设计....................................... 3.2时基电路........................................ 3.2.1 ...................................... 3.2.2 单稳态电路 ............................ 3.2.3 计数、译码、显示电路 .................................... 3.2.4 数电总体电路第四章............................................... 测试与调整 ..................................... 4.1 时基电路的调测 ..................................... 4.2 计数电路的调测 4.3 显示电路的调测..................................... ................................................. 第五章设计小结5.1 设计任务完成情况................................... ........................................... 5.2心得体会3电子线路课程设计报告第页共14页4设计要求第一章 1.1 基本要求)输入信号:正弦、三角和方波;(1 ;频率:10Hz~2KHz ;-峰值0.3 V ~3V幅度:峰)频率计通带:10Hz~1KHz;2()量程范围:0~99;(3 1s;(4)闸门时间:;(5)采样周期:≥2s 6()实现自动测频、自动清零、数据显示和保持功能。
简易数字频率计课程设计
简易数字频率计课程设计 Last updated at 10:00 am on 25th December 2020简易频率计设计摘要在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。
在计算机及各种数字仪表中,都得到了广泛的应用。
在CMOS电路系列产品中,数字频率计是用量最大、品种很多的产品,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系,在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。
测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。
常用的频率测量方法有测频法、测周法、测周期/频率法、F/V与A/D法。
本文阐述了用测频法构成的数字频率计关键字:时序控制频率,数字频率计,555电路目录课题描述 ...............................................................1 绪论课题描述频率是周期信号每秒钟内所含的周期数值。
输入电路:由于输入的信号可以是正弦波,方波。
而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。
在整形之前由于不清楚被测信号的强弱的情况。
所以在通过整形之前通过放大衰减处理。
当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。
当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。
通过时基电路及控制电路锁存器将最终频率稳定的显示在数码管上[1]。
设计任务与要求1.频率测量范围:10~9999Hz;2.输入电压幅度>300mV;3.输入信号波形:任意周期信号;4.显示位数:4 位;5.电源: 220V 、 50Hz;6.对所设计电路进行仿真分析。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
目录第一章技术指标整体功能要求系统结构要求电气指标扩展指标设计条件第二章整体方案设计算法设计整体方框图及原理第三章单元电路设计时基电路设计闸门电路设计控制电路设计小数点显示电路设计整体电路图整机原件清单第四章测试与调整时基电路的调测显示电路的调测4-3 计数电路的调测控制电路的调测整体指标测试第五章设计小结设计任务完成情况问题及改进心得体会第一章技术指标1.整体功能要求频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。
其扩展功能可以测量信号的周期和脉冲宽度。
2.系统结构要求数字频率计的整体结构要求如图所示。
图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。
3.电气指标被测信号波形:正弦波、三角波和矩形波。
测量频率范围:分三档:1Hz~999Hz~~测量周期范围:1ms~1s。
测量脉宽范围:1ms~1s。
3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误差)。
当被测信号的频率超出测量范围时,报警.4.扩展指标要求测量频率值时,1Hz~的精度均为+1。
5.设计条件电源条件:+5V。
可供选择的元器件范围如下表门电路、阻容件、发光二极管和转换开关等原件自定。
第二章 整体方案设计算法设计频率是周期信号每秒钟内所含的周期数值。
可根据这一定义采用如图2-1所示的算法。
图2-2是根据算法构建的方框图。
被测信号电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。
测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差在10 3量级,则要求闸门信号的精度为10 量级。
例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ,闸门信号的误差不大于,固由此造成的计数误差不会超过1,符合5*10 3的误差要求。
进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 3范围内。
整体方框图及原理输入电路:由于输入的信号可以是正弦波,三角波。
而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。
在整形之前由于不清楚被测信号的强弱的情况。
所以在通过整形之前通过放大衰减处理。
当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。
当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。
频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。
被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。
时基信号由RC振荡电路构成一个较稳定的多谐振荡器,经4093整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。
被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。
周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。
方波信号中的脉冲宽度恰好为被测信号的1个周期。
将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。
计数器累计的结果可以换算出被测信号的周期。
用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。
时基电路:时基信号由4093、RC组容件构成多谐振荡器,其两个暂态时间分别为T1=(Ra+Rb)C T2=重复周期为 T=T1+T2 。
由于被测信号范围为1Hz~1MHz,如果只采用一种闸门脉冲信号,则只能是10s脉冲宽度的闸门信号,若被测信号为较高频率,计数电路的位数要很多,而且测量时间过长会给用户带来不便,所以可将频率范围设为几档: 1Hz~999Hz档采用1s闸门脉宽;~档采用闸门脉宽;~档采用闸门脉宽。
多谐振荡器经二级10分频电路后,可提取因档位变化所需的闸门时间1ms、、。
闸门时间要求非常准确,它直接影响到测量精度,在要求高精度、高稳定度的场合,通常用晶体振荡器作为标准时基信号。
在实验中我们采用的就是前一种方案。
在电路中引进电位器来调节振荡器产生的频率。
使得能够产生10kHz的信号。
这对后面的测量精度起到决定性的作用。
计数显示电路:在闸门电路导通的情况下,开始计数被测信号中有多少个上升沿。
在计数的时候数码管不显示数字。
当计数完成后,此时要使数码管显示计数完成后的数字。
控制电路:控制电路里面要产生计数清零信号和锁存控制信号。
控制电路工作波形的示意图如图2-5.第三章单元电路设计时基电路设计图3-1 时基电路与分频电路它由两部分组成:如图3-1所示,第一部分为4093组成的振荡器(即脉冲产生电路),由于标准时基信号即1KHz在本电路设计中产生于4518的第一次分频,所以由RC振荡电路与4093需要产生10KHz的方波,我们通过电位器调节并用示波器观测可以基本产生10KHz的标准信号。
第二部分为分频电路,主要由4518组成(4518的管脚图,功能表及波形图详见附录),因为标准时基信号是1000Hz的脉冲,也就是其周期是,而时基信号要求为、和1s。
4518为双BCD加计数器,由两个相同的同步4级计数器构成,计数器级为D型触发器,具有内部可交换CP和EN线,用于在时钟上升沿或下降沿加计数,在单个运算中,EN输入保持高电平,且在CP上升沿进位,CR线为高电平时清零。
计数器在脉动模式可级联,通过将Q3连接至下一计数器的EN输入端可实现级联,同时后者的CP输入保持低电平。
如图3-2所示,4093与RC振荡电路产生的10kHz的信号经过四次分频后得到4个频率分别为1KHz、100Hz、10Hz和1Hz的方波。
图3-2 10kHz的方波分频后波形图闸门电路设计如图3-3所示,通过74151数据选择器来选择所要的10分频、100分频和1000分频。
74151的CBA接拨盘开关来对选频进行控制。
当CBA输入001时74151输出的方波的频率是1Hz;当CBA输入010时74151输出的方波的频率是10Hz;当CBA输入011时74151输出的方波的频率是100Hz;这里我们以输出100Hz的信号为例。
分析其通过4017后出现的波形图(4017的管脚图、功能表和波形图详见附录)。
4017是5位计数器,具有10个译码输出端,CP,CR,INH输入端,时钟输入端的施密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制,INH为低电平时,计数器清零。
100Hz的方波作为4017的CP端,如图3-3,信号通过4017后,从Q1输出的信号高电平的脉宽刚好为100Hz信号的一个周期,相当于将原信号二分频。
也就是Q1的输出信号高电平持续的时间为10ms,那么这个信号可以用来导通闸门和关闭闸门。
图3-3 闸门电路图3-4 4017输入100Hz信号和Q1、Q2的信号波形控制电路设计通过分析我们知道控制电路这部分是本实验的最为关键和难搞的模块。
其中控制模块里面又有几个小的模块,通过控制选择所要测量的东西。
比如频率,周期,脉宽。
同时控制电路还要产生4029预置数信号(也可以称为清零信号,因为本设计预置数为零,可以达到清零的效果),4511的锁存信号。
图3-5 控制电路设计控制电路。
计数电路和译码显示电路详细的电路如图3-5所示。
当74153的CBA 接001、010、011的时候电路实现的是测量被测信号频率的功能。
当74153的CBA接100的时候实现的是测量被测信号周期的功能。
当74153的CBA接101的时候实现的是测量被测信号脉宽的功能。
图3-6是测试被测信号频率时的计数器CP信号波形、PE端输入波形、4511锁存端波形图。
其中第一个波形是PE的波形图、第二个是CP端输入信号的波形图、第三个是锁存信号。
PE是高电平的时候计数器预置数为零,可以达到清零的效果。
根据图得知在计数之前对计数器进行了预置数为零即起到清零作用。
根据4511(4511的管脚图和功能表详见附录)的功能表可以知道,当锁存信号为高电平的时候,4511不送数。
如果不让4511锁存的话,那么计数器输出的信号一直往数码管里送。
由于在计数,那么数码管上面一直显示数字,由于频率大,那么会发现数字一直在闪动。
那么通过锁存信号可以实现计数的时候让数码管不显示,计完数后,让数码管显示计数器计到的数字的功能。
根据图可以看到,当CP输入的一个周期信号通过之后,此时4511的LE端的输入信号也刚好到达下降沿。
图3-6 4029计数器PE信号波形、CP端输入波形、4511锁存端波形图图3-6,是测量被测信号频率是500Hz的频率的图。
时基电路产图中电路10K 的信号经过分频后选择的是100Hz的信号为基准信号。
那么这个电路实现测量频率的范围是~的信号的频率。
同时控制电路也实现了对被测信号的周期和脉宽的测量。
当CBA的取一定的值,电路实现一定的测量功能。
小数点显示电路设计在测量频率的时候,由于分3个档位,那么在不同的档的时候,小数点也要跟着显示。
比如CBA接011测量频率的时候,它所测信号频率的范围是~,那么在显示的时候三个数码管的第二个数码管的小数点要显示。
CBA接010测量频率的时候,它所测信号频率的范围是~,那么显示的时候,最高位的数码管的小数点也要显示。
对比一下两个输入的高低电平可以发现CA位不一样,显示的小数点就不一样。
我们可以想到可以通过74153数据选择器来实现小数点显示的问题。
具体的实现方法见图3-7所示。
图3-7小数点显示电路(9端接最高位小数点,7端接次高位小数点)整体电路图图3-8 整体电路图整机原件清单第四章测试与调整时基电路的调测首先调测时基信号,通过4029、RC阻容件构成多谐振荡器,把振荡器产生的信号接到示波器中,调节电位器使得输出的信号的频率为10KHz。
同时输出信号的频率也要稳定。
测完后,下面测试分频后的频率,分别接一级分频、二级分频、三级分频、四级分频的输出端,测试其信号。
测出来的信号频率和理论值很接近。
由于是将示波器的测量端分别测量每个原件的输出端。
下面我在实验中把74151和拨盘开关接好,通过拨盘开关来控制74151的输出信号,把示波器的测量端接74151的输出端。
在CBA取三个不同的高低电平时,得到三个不同频率的信号。
具体的波形图见图3-2所示。
这里就不再重复了。
这样,时基电路这部分就测试完毕,没有问题了。