电子节拍器毕业设计

合集下载

节拍器

节拍器

电子课程设计-------节拍器学院:电子信息工程学院班级:姓名:学号:指导教师:***2013年12月23日一、设计任务与要求 (2)二、总体框图 (2)三、选择器件 (3)四、功能模块 (8)五、总体设计电路图 (13)一、设计要求要求本节拍器具有声光显示功能,设有2/4,3/4,4/4三档节拍转换开关,节拍速度连续可调二、总体框图节拍器总框图如图2.1所示。

图2.1 节拍器总体框图方案一:采用多个CMOS 反相器构成速度发生器,产生在每分钟30至240次的范围内变化的拍子,此脉冲作为CD4017的CLK 信号,用以控制节拍产生的速度。

CD4017产生的节拍信号一部分送至发声端,另一部分送至节拍转换开关,节拍转换开关有2/4,3/4,4/4三档可以选择。

发声端采用两个555芯片分别产生重音和弱音,这样获得的两个声音会比较清晰,并且差异明显、易于分辨。

此外,利用门控信号对音频信号进行控制,这样做的好处是当改变节拍的速度时,扬声器发出的声音不会变长,而是以固定宽度的脉冲进行输出发出声音。

该方案虽然比较稳定,声音清晰,节奏感强,效果相对较好,但使用的元器件较多。

其工作波形图如图2.1所示。

图2.2 工作波形图节拍控制发光显示脉冲产生方案二:考虑到实际制作中,方案一使用门的个数较多,驱动能力可能不够,电路较复杂不如方案二制作简便,以及库房可用元件等综合因素,通过综合分析,可行性不如方案二,所以采用555自激震荡电路构成脉冲发生器,产生在每分钟30至240次的范围内变化的拍子。

同样是利用CD4017获得节拍信号,所不同的是这里通过电阻控制音量来获得重音与弱音,即利用电流的大小来区分高音与低音。

该方案的重音与弱音效果比方案一略差,但电路比较简单,使用的元器件较少且均为常用器件,在实验室库房容易获得,整个电路制作起来比较方便。

最后决定使用方案二进行制作。

三、选择器件选择元器件如表3.1表3.1 选择的元器件1. 555芯片电路通过555自激震荡产生方波输出,其频率要求范围为0.5Hz-4Hz,调节两个电位器可以使拍子在每分钟30至240次的范围内变化。

电子节拍器的设计与实现

电子节拍器的设计与实现

北京邮电大学数字系统与逻辑设计实验报告电子节拍器的设计与实现学院 : 电子工程学院班级 : 2013211207学号: 2013210999姓名: 刘炜伦日期 : 2015-11-08电子节拍器的设计与实现一.设计课题的任务要求设计并实现一个具有声光显示的电子节拍器。

基本要求:1、速度在 40~120 次/分钟范围内连续可调,通过 2 个按键进行速度调节,一个用来增加,一个用来减少,当长按按键时,按 5 次/秒的速度连续增加或减少,用 3 个数码管显示当前速度。

2、节拍有 1/4、2/4、3/4、4/4、3/8、6/8 可选,通过一个按键选择,用 2个数码管显示。

3、通过一个按键开始和停止打节拍,开始后按照设置好的节拍和速度打节拍。

4、要求有声音和灯光提示,声音要有强弱区别,灯光可用不同颜色的发光二极管表示强弱。

提高要求:1、通过一个按键选择时值(节奏类型),并在用点阵显示,如下图。

2、实现在无节奏型的情况下,用点阵计时,点阵的显示从0到9,时间间隔为一秒,从而帮助使用者学习乐理知识。

二.系统设计(设计思路,总体框图,分块设计)1.设计思路程序分为输入模块,分频模块,中央控制模块和显示模块四大模块,其中显示模块又可细分为数码管显示,点阵显示,LED灯亮灯显示和蜂鸣器发声四个部分。

输入有reset(复位键),st(开关键),tn(调速键),tk(调节拍键),tj(调节奏键)五个键。

利用三个数码管显示速率,两个数码管显示节拍型,使用点阵显示节奏型,无节奏时点阵显示0到9的计时(时间间隔为1秒)。

对于开关,速率,节拍,节奏的调节,使用5HZ的时钟信号进行防抖和控制调节的速度。

利用取余函数将节拍器的速率的个十百位数字分别提取出来,并通过扫描显示将节拍器的速率和节拍型显示出来。

LED灯和点阵的信号依据节奏和节拍型确定,先考虑节奏型,分列出各个节奏型的信号,如果无节奏型再考虑节拍型,确定节拍型的信号。

最后蜂鸣器的信号由红灯信号与250HZ高频相乘所得信号和绿灯信号与500HZ高频相乘所得信号共同合成。

电子节拍器

电子节拍器

课程设计说明书课程设计名称:数字逻辑课程设计题目:电子节拍器学院名称:信息工程学院专业:计算机科学与技术班级:080611 学号:16 姓名:冯武明评分:教师:20 10 年9 月14 日摘要当今乐器的发展变化越来越快,歌曲的演奏离不开乐器,而电子节拍器作为一种节拍可调、声音悦耳、成本低、容易自制、调试简单的元器件而被被广泛应用于各种电子乐器当中。

本次课题设计的目的是:设计一电子节拍器,要求节拍器具有声光显示功能:设有2/4,3/4,4/4三档节拍转换开关,音响有强弱之分,节拍速度连续可调。

本次设计以NE555芯片和CD4017芯片组成振荡电路,驱动发光二极管发光和蜂鸣器发出声音,达到声音和发光同步。

CD4017组成的节拍选择控制电路。

当开关与不同的管脚接通时。

就会有不同的节拍。

该节拍器的设计采用模块化结构,有3个模块即节拍脉冲模块、节拍选择控制模块、以及声光同步显示模块组成。

此电路是以NE555及CD4017构成振荡器产生节拍脉冲,并将脉冲输入到CD4017构成的节拍选择控制开关,此电路是以NE555构成自激振荡器产生脉冲,并将脉冲输入到蜂鸣器产生节拍的声音,及驱动发光二级管,达到声光同步显示效果。

在为期两周的时间内,通过作者与同组人的共同努力和在指导老师的帮助下,借助学校图书馆,网络等各种信息平台收集资料,经过分析,提炼,转变成自己的研究成果。

通过本次设计,使作者明白了一般电子产品的设计及制作过程,加深了对专业基础课的认识,以及对所学课程的实际应用有了一个更深的理解,促进了对所学专业的热爱。

关键字:节拍器,脉冲信号,声光显示,蜂鸣器电子节拍器目录前言 (4)第一章设计要求 (5)1.1设计基本要求 (5)第二章设计方案的选择 (6)2.1方案设计 (6)2.2方案比较 (7)第三章系统的组成及工作原理 (8)3.1系统的组成 (8)3.2工作原理 (9)第四章单元电路设计 (10)4.1脉冲产生电路 (10)4.2节拍信号产生电路 (11)4.3声光显示电路 (11)第五章实验、调试及结果分析 (14)5.1试验及调试 (14)5.2测试结果与分析 (15)第六章收获与体会 (16)参考文献 (17)附录一元件清单 (18)附录二总设计图 (19)前言现代音乐的发展以及音乐对人们生活的重要影响,使得乐器发展变得更加迅猛,人们对乐器的要求越来越高。

电子节拍器的设计与实现

电子节拍器的设计与实现

电子节拍器的设计与实现学院: 明光桥北技术学院班级:姓名:学号:班内序号:1、设计思想本实验采用自顶向下设计的方法。

从整体上考虑系统的功能,划分出不同 的功能模块,然后选用器件实现相应模块,反复改进、反复实践,最终完成系统的设计。

在前期,我主要是进行各个模块的测试,分别测试完成之后,再通过顶层文件进行电路的连接,实现全部的设计。

2、总体框图(1)系统框图一、 故障及问题分析1.当按键按下之后,按下一次会产生多次按下的效果。

问题分析与解决:由于抖动的原因,需要加上一个防抖的程序。

分频器clk 50MHZ 高频 低频 译码器 选择变速产生节拍 add sub clear 切换节拍2. 速度加1加5的时钟计时时间偏小。

问题分析与解决:通过实验室多次调试解决。

3.播放自制歌曲时无法进行节拍演示。

问题分析与解决:case语句需要改进。

(由于此歌曲在验收实验前一天晚上才完成没有时间改进。

)4.蜂鸣器一开始输入高低电平。

问题分析与解决:后来查阅资料和同学沟通发现应该是输入不同频率的方波信号。

5.导致数码管显示不正常。

问题分析与解决:对数码管扫描频率一开始过大,应该调整为10kHz六、总结和结论好人有好报----造福学弟学妹七、源程序顶层文件library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY jiepaiqi ISPORT(add : IN STD_LOGIC;sub : IN STD_LOGIC;clk : IN STD_LOGIC;clear :IN STD_LOGIC;state: IN STD_LOGIC;success:in std_logic;sw : IN STD_LOGIC;-- sw1 : IN STD_LOGIC;led1 : OUT STD_LOGIC;led2 : OUT STD_LOGIC;led3 : OUT STD_LOGIC;beeper0 : OUT STD_LOGIC;b : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);cat : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );END jiepaiqi;ARCHITECTURE a OF jiepaiqi ISCOMPONENT beeperPORT( clk:in std_logic;clear:in std_logic;state:in std_logic;success:in std_logic;V1,V2,V3:in std_logic;show:out std_logic);END COMPONENT;COMPONENT fenpinqiPORT(clk : IN STD_LOGIC;clear : IN STD_LOGIC;S : IN STD_LOGIC_VECTOR(6 DOWNTO 0);speed : OUT STD_LOGIC;ms : OUT STD_LOGIC);END COMPONENT;COMPONENT ledPORT(speed : IN STD_LOGIC;clear : IN STD_LOGIC;J : IN STD_LOGIC_VECTOR(2 DOWNTO 0);V1 : OUT STD_LOGIC;V2 : OUT STD_LOGIC;V3 : OUT STD_LOGIC);END COMPONENT;COMPONENT pacePORT(sw : IN STD_LOGIC;clear : IN STD_LOGIC;J : OUT STD_LOGIC_VECTOR(2 DOWNTO 0) );END COMPONENT;COMPONENT smgymqPORT(clk : IN STD_LOGIC;clear : IN STD_LOGIC;J : IN STD_LOGIC_VECTOR(2 DOWNTO 0);S : IN STD_LOGIC_VECTOR(6 DOWNTO 0);b : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);cat : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );END COMPONENT;COMPONENT speederPORT(add : IN STD_LOGIC;sub : IN STD_LOGIC;clear : IN STD_LOGIC;clk : IN STD_LOGIC;S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) );END COMPONENT;COMPONENT fenpinqismgPORT(clk : IN STD_LOGIC;clear : IN STD_LOGIC;clkout : OUT STD_LOGIC);END COMPONENT;SIGNAL SW_0 : STD_LOGIC;SIGNAL SW_1 : STD_LOGIC;SIGNAL SW_2 : STD_LOGIC;SIGNAL SW_10 : STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL SW_4 : STD_LOGIC;SIGNAL SW_11 : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL SW_6 : STD_LOGIC;SIGNAL SW_9 : STD_LOGIC;BEGINled1 <= SW_0;led2 <= SW_1;led3 <= SW_2;u1: beeperPORT MAP(clk => clk,clear => clear,state =>state,success=>success,V1 => SW_0,V2 => SW_1,V3 => SW_2,show => beeper0);u2: fenpinqiPORT MAP(clk => clk,clear => clear,S => SW_10,speed => SW_4,ms => SW_9);u3: ledPORT MAP(speed => SW_4,clear => clear,J => SW_11,V1 => SW_0,V2 => SW_1,V3 => SW_2);u4: pacePORT MAP(sw => sw,clear => clear,J => SW_11);u5: smgymqPORT MAP(clk => SW_6,clear => clear,J => SW_11,S => SW_10,b => b,cat => cat);u6: speederPORT MAP(add => add,sub => sub,clear => clear,clk => SW_9,S => SW_10);u7: fenpinqismgPORT MAP(clk => clk,clear => clear,clkout => SW_6);END a;数码管分频器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpinqismg isport(clk,clear :in std_logic;clkout :out std_logic);end fenpinqismg;architecture a of fenpinqismg issignal temp:integer range 0 to 2499; signal clk_tmp : std_logic;beginprocess(clk,clear)beginif clear='0'thentemp<=0;clk_tmp<='0';elseif clk'event and clk='1' then if temp=2499 thentemp<=0;clk_tmp<= not clk_tmp;elsetemp<=temp+1;end if;end if;end if;clkout<=clk_tmp;end process;end;速度调节library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity speeder isport(add,sub,clear,clk :in std_logic;S:out std_logic_vector(6 downto 0));end speeder;architecture a of speeder issignal S_temp:std_logic_vector(6 downto 0);signal time1a: integer range 0 to 2000;signal time1b: integer range 0 to 2000;signal time2a: integer range 0 to 2000;signal time2b: integer range 0 to 2000;beginprocess(clk)beginif(clk'event and clk='1')then-----------add----------if S_temp<"1010000"then --节奏速度小于120if(add='0')thentime1a<=0;time1b<=0;elsif (add='1'and time1a=2000 and S_temp<"1001100") then --节奏速度小于115按键1秒速度+5 S_temp<=S_temp+5;time1a<=0;elsif(add='1'and time1b=0)thenS_temp<=S_temp+1;time1b<=time1b+1;elsif(add='1'and time1a<2000)thentime1a<=time1a+1;end if;end if;----------sub----------if S_temp>"0000000"then --节奏速度大于40if(sub='0')thentime2a<=0;time2b<=0;elsif (sub='1'and time2a=2000 and S_temp>"0000101") then --节奏速度大于45按键1秒速度-5 S_temp<=S_temp-5;time2a<=0;elsif(sub='1'and time2b=0)thenS_temp<=S_temp-1;time2b<=time2b+1;elsif(sub='1'and time2a<2000)thentime2a<=time2a+1;end if;end if;end if;if clear='0'thenS_temp<="0101000"; --重置按键使得初始速度定为80end if;end process;S<=S_temp;end a;数码管和译码器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity smgymq isport(clk:in std_logic;clear: in std_logic;J: in std_logic_vector(2 downto 0);S: in std_logic_vector(6 downto 0);cat:out std_logic_vector(5 downto 0);b:out std_logic_vector(6 downto 0));end entity smgymq;architecture a of smgymq issignal temp : STD_LOGIC_VECTOR(3 downto 0);signal j1,j2 : STD_LOGIC_VECTOR(6 downto 0);signal S1,S2,S3: STD_LOGIC_VECTOR(6 downto 0);beginprocess(clk)beginif(clear='1')thenif(clk'event and clk ='1')thenif (temp="0101")thentemp<="0000";elsetemp<=temp+1;end if;end if;end if;end process;process(temp)beginif(clear='1')thencase S iswhen"0000000" => s1 <="0000000";s2<="0110011";s3<="1111110"; --40 when"0000001" => s1 <="0000000";s2<="0110011";s3<="0110000"; --41 when"0000010" => s1 <="0000000";s2<="0110011";s3<="1101101"; --42 when"0000011" => s1 <="0000000";s2<="0110011";s3<="1111001"; --43 when"0000100" => s1 <="0000000";s2<="0110011";s3<="0110011"; --44 when"0000101" => s1 <="0000000";s2<="0110011";s3<="1011011"; --45 when"0000110" => s1 <="0000000";s2<="0110011";s3<="1011111"; --46 when"0000111" => s1 <="0000000";s2<="0110011";s3<="1110000"; --47 when"0001000" => s1 <="0000000";s2<="0110011";s3<="1111111"; --48 when"0001001" => s1 <="0000000";s2<="0110011";s3<="1111011"; --49 when"0001010" => s1 <="0000000";s2<="1011011";s3<="1111110"; --50 when"0001011" => s1 <="0000000";s2<="1011011";s3<="0110000"; --51 when"0001100" => s1 <="0000000";s2<="1011011";s3<="1101101"; --52 when"0001101" => s1 <="0000000";s2<="1011011";s3<="1111001"; --53 when"0001110" => s1 <="0000000";s2<="1011011";s3<="0110011"; --54 when"0001111" => s1 <="0000000";s2<="1011011";s3<="1011011"; --55 when"0010000" => s1 <="0000000";s2<="1011011";s3<="1011111"; --56 when"0010001" => s1 <="0000000";s2<="1011011";s3<="1110000"; --57 when"0010010" => s1 <="0000000";s2<="1011011";s3<="1111111"; --58 when"0010011" => s1 <="0000000";s2<="1011011";s3<="1111011"; --59 when"0010100" => s1 <="0000000";s2<="1011111";s3<="1111110"; --60 when"0010101" => s1 <="0000000";s2<="1011111";s3<="0110000"; --61when"0010110" => s1 <="0000000";s2<="1011111";s3<="1101101"; --62 when"0010111" => s1 <="0000000";s2<="1011111";s3<="1111001"; --63 when"0011000" => s1 <="0000000";s2<="1011111";s3<="0110011"; --64 when"0011001" => s1 <="0000000";s2<="1011111";s3<="1011011"; --65 when"0011010" => s1 <="0000000";s2<="1011111";s3<="1011111"; --66 when"0011011" => s1 <="0000000";s2<="1011111";s3<="1110000"; --67 when"0011100" => s1 <="0000000";s2<="1011111";s3<="1111111"; --68 when"0011101" => s1 <="0000000";s2<="1011111";s3<="1111011"; --69 when"0011110" => s1 <="0000000";s2<="1110000";s3<="1111110"; --70 when"0011111" => s1 <="0000000";s2<="1110000";s3<="0110000"; --71 when"0100000" => s1 <="0000000";s2<="1110000";s3<="1101101"; --72 when"0100001" => s1 <="0000000";s2<="1110000";s3<="1111001"; --73 when"0100010" => s1 <="0000000";s2<="1110000";s3<="0110011"; --74 when"0100011" => s1 <="0000000";s2<="1110000";s3<="1011011"; --75 when"0100100" => s1 <="0000000";s2<="1110000";s3<="1011111"; --76 when"0100101" => s1 <="0000000";s2<="1110000";s3<="1110000"; --77 when"0100110" => s1 <="0000000";s2<="1110000";s3<="1111111"; --78 when"0100111" => s1 <="0000000";s2<="1110000";s3<="1111011"; --79 when"0101000" => s1 <="0000000";s2<="1111111";s3<="1111110"; --80 when"0101001" => s1 <="0000000";s2<="1111111";s3<="0110000"; --81 when"0101010" => s1 <="0000000";s2<="1111111";s3<="1101101"; --82 when"0101011" => s1 <="0000000";s2<="1111111";s3<="1111001"; --83 when"0101100" => s1 <="0000000";s2<="1111111";s3<="0110011"; --84 when"0101101" => s1 <="0000000";s2<="1111111";s3<="1011011"; --85 when"0101110" => s1 <="0000000";s2<="1111111";s3<="1011111"; --86 when"0101111" => s1 <="0000000";s2<="1111111";s3<="1110000"; --87 when"0110000" => s1 <="0000000";s2<="1111111";s3<="1111111"; --88 when"0110001" => s1 <="0000000";s2<="1111111";s3<="1111011"; --89 when"0110010" => s1 <="0000000";s2<="1111011";s3<="1111110"; --90 when"0110011" => s1 <="0000000";s2<="1111011";s3<="0110000"; --91 when"0110100" => s1 <="0000000";s2<="1111011";s3<="1101101"; --92 when"0110101" => s1 <="0000000";s2<="1111011";s3<="1111001"; --93 when"0110110" => s1 <="0000000";s2<="1111011";s3<="0110011"; --94 when"0110111" => s1 <="0000000";s2<="1111011";s3<="1011011"; --95 when"0111000" => s1 <="0000000";s2<="1111011";s3<="1011111"; --96 when"0111001" => s1 <="0000000";s2<="1111011";s3<="1110000"; --97 when"0111010" => s1 <="0000000";s2<="1111011";s3<="1111111"; --98 when"0111011" => s1 <="0000000";s2<="1111011";s3<="1111011"; --99 when"0111100" => s1 <="0110000";s2<="1111110";s3<="1111110"; --100 when"0111101" => s1 <="0110000";s2<="1111110";s3<="0110000"; --101 when"0111110" => s1 <="0110000";s2<="1111110";s3<="1101101"; --102 when"0111111" => s1 <="0110000";s2<="1111110";s3<="1111001"; --103 when"1000000" => s1 <="0110000";s2<="1111110";s3<="0110011"; --104 when"1000001" => s1 <="0110000";s2<="1111110";s3<="1011011"; --105when"1000010" => s1 <="0110000";s2<="1111110";s3<="1011111"; --106when"1000011" => s1 <="0110000";s2<="1111110";s3<="1110000"; --107when"1000100" => s1 <="0110000";s2<="1111110";s3<="1111111"; --108when"1000101" => s1 <="0110000";s2<="1111110";s3<="1111011"; --109when"1000110" => s1 <="0110000";s2<="0110000";s3<="1111110"; --110when"1000111" => s1 <="0110000";s2<="0110000";s3<="0110000"; --111when"1001000" => s1 <="0110000";s2<="0110000";s3<="1101101"; --112when"1001001" => s1 <="0110000";s2<="0110000";s3<="1111001"; --113when"1001010" => s1 <="0110000";s2<="0110000";s3<="0110011"; --114when"1001011" => s1 <="0110000";s2<="0110000";s3<="1011011"; --115when"1001100" => s1 <="0110000";s2<="0110000";s3<="1011111"; --116when"1001101" => s1 <="0110000";s2<="0110000";s3<="1110000"; --117when"1001110" => s1 <="0110000";s2<="0110000";s3<="1111111"; --118when"1001111" => s1 <="0110000";s2<="0110000";s3<="1111011"; --119when"1010000" => s1 <="0110000";s2<="1101101";s3<="1111110"; --120 when others => s1 <="0000000";s2<="0000000";s3<="0000000"; --nullend case;case J iswhen"001" => j1 <="0110000"; j2 <="0110011";--1/4when"010" => j1 <="1101101"; j2 <="0110011";--2/4when"011" => j1 <="1111001"; j2 <="0110011";--3/4when"100" => j1 <="0110011"; j2 <="0110011";--4/4when"101" => j1 <="1111001"; j2 <="1111111";--3/8when"110" => j1 <="1011111"; j2 <="1111111";--6/8when others=>j1 <="0000000"; j2 <="0000000";--nullend case;case temp iswhen "0000" => b <=j1; --"1111110"; --number0when "0001" => b <=j2; --"0110000"; -- 1when "0010" => b <="0000000"; --"1101101"; -- 2when "0011" => b <=s1; --"1111001"; -- 3when "0100" => b <=s2; --"0110011"; -- 4when "0101" => b <=s3; --"1011011"; -- 5when others => b <="0000000";end case;elseb<="0000000";end if;end process;--------shumaguan number--------process(temp)beginif(clear='1')thencase temp iswhen "0000"=>cat<="111110";when "0001"=>cat<="111101";when "0010"=>cat<="111011";when "0011"=>cat<="110111";when "0100"=>cat<="101111";when "0101"=>cat<="011111";when others=>cat<="111111";end case;elsecat<="111111";end if;end process;end a;节奏类型计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity pace isport(sw,clear :in std_logic;J:out std_logic_vector(2 downto 0));end pace;architecture a of pace issignal J_tmp:std_logic_vector(2 downto 0);beginprocess(sw)beginif clear='0'thenJ_tmp<="001";elseif(sw'event and sw='1')then if J_tmp="110" thenJ_tmp<="001";elseJ_tmp<=J_tmp+1;end if;end if;end if;end process;J<=J_tmp;end a;Led灯library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Led isport(speed,clear:in std_logic;J :in std_logic_vector(2 downto 0);V1,V2,V3:out std_logic);--LEDsend Led;architecture a of Led issignal s:integer range 0 to 23; --6/8 beginprocess(speed)beginif clear='0' thenV1<='0';V2<='0';V3<='0';elsif (speed'event and speed='1')then case J iswhen "001"=> --1/4 Scase s iswhen 0|1 =>V1<='1';V2<='0';V3<='0';when others=> V1<='0';V2<='0';V3<='0'; end case;if s>=3 thens<=0;elses<=s+1;end if;when "010"=>--2/4 S-Wcase s iswhen 0|1 =>V1<='1';V2<='0';V3<='0';when 4|5 => V1<='0';V2<='0';V3<='1';when others=> V1<='0';V2<='0';V3<='0';end case;if s>=8 thens<=0;elses<=s+1;end if;when "011"=>--3/4 S-W-Wcase s iswhen 0|1 =>V1<='1';V2<='0';V3<='0';when 4|5|8|9 => V1<='0';V2<='0';V3<='1'; when others=> V1<='0';V2<='0';V3<='0';end case;if s>=12 thens<=0;elses<=s+1;end if;when "100"=>--4/4 S-W-RS-Wcase s iswhen 0|1=> V1<='1';V2<='0';V3<='0';when 4|5|12|13=> V1<='0';V2<='0';V3<='1'; when 8|9=> V1<='0';V2<='1';V3<='0';when others=> V1<='0';V2<='0';V3<='0';end case;if s>=16 thens<=0;elses<=s+1;end if;when "101"=>--3/8 S-W-Wcase s iswhen 0 =>V1<='1';V2<='0';V3<='0';when 2|4 => V1<='0';V2<='0';V3<='1';when others=>V1<='0';V2<='0';V3<='0';end case;if s>=6 thens<=0;elses<=s+1;end if;when "110"=>--6/8 S-W-W RS-W-Wcase s iswhen 0 =>V1<='1';V2<='0';V3<='0';when 2|4|8|10 => V1<='0';V2<='0';V3<='1';when 6=> V1<='0';V2<='1';V3<='0';when others=>V1<='0';V2<='0';V3<='0';end case;if s=12 thens<=0;elses<=s+1;end if;when others=>V1<='0';V2<='0';V3<='0';end case;end if;end process;end a;分频器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpinqi isport(clk,clear :in std_logic;S:in std_logic_vector(6 downto 0);--81个速度,7位2进制数计数 speed,ms:out std_logic);end fenpinqi;architecture a of fenpinqi issignal clk_temp:std_logic;signal ms_temp:std_logic;signal s1:integer range 0 to 10000000; --40signal sms:integer range 0 to 12499;process(clk,clear)beginif clear='0' thenclk_temp<='0';ms_temp<='0';elsif clk'event and clk='1'thenif sms=12499 thensms<=0;ms_temp<=not ms_temp; elsesms<=sms+1;end if;case S iswhen "1010000"=>if s1>=3333333 then -- 120p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1001111"=>if s1>=3361344 then -- 119p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1001110"=>if s1>=3389830 then -- 118p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1001101"=>if s1>=3418803 then -- 117p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1001100"=>if s1>=3448275 then -- 116p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1001011"=>if s1>=3478260 then -- 115p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1001010"=>if s1>=3508771 then -- 114p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1001001"=>if s1>=3539823 then -- 113p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1001000"=>if s1>=3571428 then -- 112p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1000111"=>if s1>=3603603 then -- 111p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1000110"=>if s1>=3636363 then -- 110p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1000101"=>if s1>=3669724 then -- 109p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1000100"=>if s1>=3703703 then -- 108p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1000011"=>if s1>=3738317 then -- 107p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1000010"=>if s1>=3773584 then -- 106p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1000001"=>if s1>=3809523 then -- 105p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "1000000"=>if s1>=3846153 then -- 104p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "0111111"=>if s1>=3883495 then -- 103p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "0111110"=>if s1>=3921568 then -- 102p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "0111101"=>if s1>=3960396 then -- 101p/s s1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "0111100"=>if s1>=4000000 then -- 100p/ss1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "0111011"=>if s1>=4040404 then -- 99p/ss1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "0111010"=>if s1>=4081632 then -- 98p/ss1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "0111001"=>if s1>=4123711 then -- 97p/ss1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "0111000"=>if s1>=4166666 then -- 96p/ss1<=0;clk_temp<=not clk_temp;elses1<=s1+1;end if;when "0110111"=>if s1>=4210526 then -- 95p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0110110"=>if s1>=4255319 then -- 94p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0110101"=>if s1>=4301075 then -- 93p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0110100"=>if s1>=4347826 then -- 92p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0110011"=>if s1>=4395604 then -- 91p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0110010"=>if s1>=4444444 then -- 90p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0110001"=>if s1>=4494382 then -- 89p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0110000"=>if s1>=4545454 then -- 88p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0101111"=>if s1>=4597701 then -- 87p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0101110"=>if s1>=4651162 then -- 86p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0101101"=>if s1>=4705882 then -- 85p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0101100"=>if s1>=4761904 then --84p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0101011"=>if s1>=4819277 then --83p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0101010"=>if s1>=4878048 then --82p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0101001"=>if s1>=4938271 then --81p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0101000"=>if s1>=5000000 then --80p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0100111"=>if s1>=5063291 then --79p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0100110"=>if s1>=5128205 then --78p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0100101"=>if s1>=5194805 then --77p/ss1<=0;clk_temp<=not clk_temp; elses1<=s1+1;end if;when "0100100"=>if s1>=5263157 then --76p/ss1<=0;clk_temp<=not clk_temp;。

电子音乐节拍器o

电子音乐节拍器o

1 前言1.1序言随着科技的迅猛发展和人们生活水平的不断提高,人们已不满足于现有的娱乐条件,对娱乐设备的智能化和自动化提出了更高的要求,人们对于娱乐设施的要求越来越高,要求现在的娱乐设施不仅效果更好而且更方便于使用。

于是电子音乐节拍器被引入娱乐系统,并得到了广泛的应用。

本文所设计的电子音乐节拍器系统,正是在要求娱乐设备日益自动化的背景下,为了满足人们对娱乐设备的要求而设计的。

电子音乐节拍器的任务是根据不同的音乐立刻产生出与之相对应的节拍,以便使音乐根据节奏感。

电子音乐节拍器小巧、精致,便于携带,使用也十分方便,必定适合各种娱乐场和。

1.2目前娱乐设备的概况随着社会的发展,人们对娱乐机构和娱乐设备的要求已不满足于现状,人们希望娱乐设备向自动化、智能花、高科技化发展,希望在娱乐时能得到更好的听觉效果,希望娱乐活动都能有节奏。

人们希望将先进的科学技术与医疗设备相结合,使其能更好的为我们服务。

在我国,娱乐设备的自动化、智能化正处在起步发展阶段,娱乐系统与娱乐设备正在不断完善的过程中,我们需要更多更好的方案和设计以满足社会的需要。

但鉴于娱乐的广泛性,我们的设计必须要求自动、智能,能够更方便的使用,能给更多的人带来快乐。

1.3未来娱乐设备的展望娱乐系统和娱乐设备的自动化、智能化、高科技化已经成为未来发展的方向,我们可以期待它为我们的生活带来方便的一面。

如今,人民生活已从温饱型向小康转变,人们在生活的质量上有了更高的要求,因此人们开始把注意力从如何提高生活质量转移到如何享受生活、如何让生活更精彩上来,作为娱乐场合必不可少的工具,电子音乐节拍器将成为必备的娱乐设备去取代人工方面来说,电子音乐节拍器比人工的敲打节拍更具可靠性和欣赏性,更能准确的体现出音乐创作人的思想。

1.4论文主要工作概述针对娱乐设施的现状,可见娱乐设备的自动化、智能化、高科技化是娱乐设备今后的发展方向。

本课程要设计的电子音乐节拍器,是针对跟上音乐节拍这一要求,依托于接拍控制技术而建立起来的,和音乐接拍的现状相比,它具有便于携带、精致小巧的优点。

基于单片机音乐播放器节拍显示毕业论文分解

基于单片机音乐播放器节拍显示毕业论文分解

毕业设计(论文)题目:基于单片机音乐播放器节拍显示设计院 (系):机电工程系专业:电气自动化技术姓名:王倩学号: 5802021001038 指导教师:刘静二〇一三年三月十四日毕业设计(论文)任务书毕业设计(论文)进度计划表毕业设计(论文)中期检查记录表目前流行的MP3播放器的音质已相当好,但略感遗憾的是除了选择歌曲和显示歌名外,绝大部分播放器没有诸如随意弹奏乐曲、乐曲节奏跳动等功能。

而随着人们生活水平的不断提高,单片机控制无疑是人们追求的目标之一。

要为现代人工作、生活提供更好的更方便的服务就需要从单片机技术着手,一切向着数字化控制、智能化控制方向发展。

本设计是采用单片机为核心设计的数字音乐播放器。

本设计在实现音乐的播放及歌曲名显示等基本功能的基础上进行了扩展,添加了彩灯伴奏、按键弹奏、显示音乐节拍等功能。

本论文给出了系统方案的建立、硬件电路的详细设计及软件的程序实现。

并通过软硬件的联立调试,验证了设计方案的可行性。

关键词:多功能 MP3音乐播放器单片机按键弹奏The current popular MP3 player sound has been quite good, but feel regretful slightly is in addition to the choice of songs and display the song name, most players without such as random play music rhythm, music and other functions. But as people living standard rise ceaselessly, SCM control is one of the goals that people pursue. For the modern life of work, to provide better and more convenient service needs from proceed toward the SCM technology, all digital control, intelligent control direction.This design is the use of single-chip microcomputer as the core design of the digital music player. The design in the implementation of music playing and the song name display and other basic functions based on the expansion, adding lights accompaniment, play button, display the beat of the music and other functions.This paper gives a system scheme, hardware establishment detailed circuit design and software program. And through the software and hardware of simultaneous debugging, verify the feasibility of the design scheme.KEY WORD : multi function MP3 music player single chip microcomputer play button第一章绪言 (1)第二章硬件设计 (2)第一节硬件电路的设计框图 (2)第二节硬件电路设计模块的选定 (2)第三节各硬件电路的具体设计 (4)第三章软件设计 (8)第一节单片机发声的基本原理 (8)第二节设计的相关音乐说明 (8)第三节音乐播放器软件程序设计 (9)结论 (13)致谢 (14)参考文献 (15)附录 (16)第一章绪言二十世纪九十年代以来,计算机、信息、电子、控制、通信等技术得到迅速发展,促使了社会生产力的提高,也使人们的生产方式和生活方式产生了日新月异的变化。

电子节拍器--潘子仁

电子节拍器--潘子仁

长沙学院数电课程设计说明书题目电子节拍器设计系(部) 电信系专业(班级) 电气02班姓名潘子仁学号2011024215指导教师龙英刘亮陈希起止日期2013.6.16—2013.6.20数字电子技术课程设计任务书(15)系(部):电子与通信工程系专业:电气工程及其自动化指导教师:陈希长沙学院课程设计鉴定表目录目录 (4)前言 (5)一、设计内容及要求 (6)二、系统的组成及工作原理 (6)三、电路方案设计 (6)四、实验调试及测试结果与分析 (9)4.1 调试 (9)4.2 测试结果与分析 (9)总结 (10)参考文献 (11)前言电子节拍器是一种能在各种速度中发出一种稳定的节拍的电动或电子装置,作为一种节奏性乐器使用。

1696年巴黎人E.卢列创制第一架节拍器后,这种装置的种类很多,最普遍使用的是1816年由奥地利人J.N.梅尔策尔发明的节拍器。

梅尔策尔的节拍器外形呈现金字塔形,内部为时钟结构,有齿轮及发条,带动一摆杆,摆杆每次摆动结束时发出尖锐的“滴答”声,这些滴答声的速度可根据刻在摆杆上的游尺度上下移动摆锤,进行调整,其速度每分钟40~210拍。

约在1945年瑞士钟表业生产袖珍节拍器,形如挂表。

匈牙利作曲家G利盖蒂在《交响诗》中用过100个节拍器以不同的速度鸣响。

节拍器最早时期是机械式的利用的是机械发车节拍,随着科学技术的发展现今的节拍器都是电子式的,现代半导体和集成电路底节拍器不但功能强性能好而且还小巧灵便,携带起来很方便。

在不久的将来还会出现只能化的电子节拍器。

电子节拍器对初学者来说是最好的指导老师,是钢琴学习者的铺助工具,合理地运用它,可使学琴者克服练习中的障碍,清楚每首乐曲所需的基本速度,并且对初级学琴者建立一定的心理节奏感,养成良好的节拍习惯具有积极的帮助作用,同时也起到速度的参照作用、纠正速度的作用。

一、设计内容及要求【基本要求】要求本节拍器具有声光显示功能:设有2/4,3/4,4/4三档节拍转换开关,音响有强弱之分。

基于单片机的电子节拍器的设计和制作

基于单片机的电子节拍器的设计和制作

毕业设计(论文)文献综述(包括国内外现状、研究方向、进展情况、存在问题、参考依据等)基于单片机的电子节拍器的设计和制作1 节拍器的诞生中世纪时期, 大多数著名作曲家的作品都以出色的舞曲而命名,此趋势并非因为该作品具有那舞曲的特色, 而是因为作曲家要依靠该舞曲的节奏速度谱出自己喜爱的乐章。

但这些乐章的节奏究竟有多快或多慢, 则没有明确的定义。

任何一位演奏者只能凭自己的感觉或喜好, 随心所欲地去演奏乐章。

故此, 于世纪末, 一些法国音乐家和技术专家积极寻求各种方法去制定间距、速度和节奏, 好让音乐家弹奏乐曲的节奏与作曲家所编写的一致,于是便出现了节拍。

节拍是塑造音乐形象的基本表现手法,节拍器也慢慢介入了乐器市场,现在几乎已经成为了初学者必备的学习工具,他的基本功能顾名思义就是帮助新手们树立并培养良好的节奏感,用强弱关系来组织音乐,使音值相同的重音与非重音有规律的循环重复,从而使音乐练习达到事半功倍的效果。

2国内外有关节拍器的设计在国内外有关节拍器的设计中,大多都采用了单片机。

单片机以其高性能,小体积,廉价格的特点,在自动控制领域有十分广泛的应用。

日常生活所见的机械节拍器的节拍声音单调,而且有调节不便和价格较高的特点。

而采用单片机控制的电子音乐发生器有其独特的优点:电路设计简单、系统体积小、成本低;音乐中的音调和节拍准确;同时还能根据用户的要求存放其喜欢的歌曲,有较大的灵活性和趣味性,能很好的实现与使用者的交流。

2.1 基于Atmegal6的LED电子节拍器的设计基于一款性价比高的Atmegal6单片机,结合电气类组合电路和时序电路的基础知识,完成一种简单、易于制作、成本低廉的节拍器并且适合广大音乐爱好者DIY 的电路设计。

LED电子节拍器主要包括方波产生和数字显示电路、节拍控制电路、声音电路和光电路。

该节拍器具有0~7种不同的节拍.如嘀嘀嘀,滴答滴答,嘀嗒嗒嘀嗒嗒等。

拍速可从40—160拍/min的几种常用节拍进行调节。

基于FPGA的电子节拍器设计

基于FPGA的电子节拍器设计

0 引言节拍器在音乐教学中发挥着巨大的作用。

对于钢琴、吉他的初学者来说,节拍器可以帮助他们树立准确的节奏速度概念,使演奏更完整平稳,节奏更均匀,是音乐学习必备的工具。

节拍器分机械式和电子式2种,机械式节拍器因其节拍声音单调,调节不便,价格高而使用较少;电子式节拍器调节方便,显示直观得到了广泛的应用,目前,市场上电子式节拍器大多是采用单片机(微电脑)控制的。

就此,笔者提出一种使用可编程逻辑器件FPGA 设计的电子节拍器,具有声光显示功能,拍速和拍号可调节。

1 FPGA 设计实现过程FPGA (现场可编程门阵列)因其可编程的灵活性和硬件独有的并行性近年来得到广泛的应用。

本设计采用Altera 公司的Cyclone Ⅱ系列FPGA 在Quartus Ⅱ软件下完成,主要由分频模块、节拍控制器、拍速调节模块、拍号选择模块、音调控制电路及LED 显示电路组成。

1.1 分频模块主要作用是将晶振的50MHz 时钟分频产生出节拍控制器和音调控制电路所需的时钟频率,如图1所示。

图1 分频模块电路图 1.2 节拍控制器节拍控制器采用十进制计数器/脉冲分配器CD4017BE,RST 为高电平时复位,此时Q0输出高电平,其余输出端均为低电平;CLK_INH 为时钟禁止端,高电平有效,禁止时钟输入;当RST 和CLK_INH 都无效时,在CLK 端输入时钟脉冲会使得Q1-Q9依次输出高电平,输入十个脉冲后电路又恢复到初始状态。

如果把某个输出端再接回到RST,则当该输出端为高电平时电路复位,从Q0开始重新输出高电平,正是利用这一点来产生节拍输出,如图2所示。

由此可以产生1/4拍(“剁板”),2/4拍、3/4拍和4/4拍这几种常用节拍。

图2 节拍控制器电路1.3 拍速调节模块拍速指的是每分钟的拍数(bpm),一般从40-208之间,如常用的60拍/分音乐术语称作小广板,80拍/分称作小行板等等。

为了便于计算,把拍/分转换成拍/秒,如60拍/分就对应1拍/秒,因此提供给节拍控制器的时钟就是1Hz,依次类推。

北邮数字电路综合实验电子节拍器

北邮数字电路综合实验电子节拍器

数字电路与逻辑设计实验(下)实验报告课题名称:数字电路与逻辑设计实验(下)学院:xxxxxx班级:xxxxxx姓名:xxxxxx学号:xxxxxx日期:xxxxxx设计课程的任务要求题目七:电子节拍器的设计与实现设计并实现一个具有声光显示的电子节拍器。

基本要求:1、速度在40~120 次/分钟范围内连续可调,通过2 个按键进行速度调节,一个用来增加,一个用来减少,当长按按键时,按5 次/秒的速度连续增加或减少,用3个数码管显示当前速度。

2、节拍有1/4、2/4、3/4、4/4、3/8、6/8 可选,通过一个按键选择,用2个数码管显示。

3、通过一个按键开始和停止打节拍,开始后按照设置好的节拍和速度打节拍。

4、要求有声音和灯光提示,声音要有强弱区别,灯光可用不同颜色的发光二极管表示强弱。

系统设计1. 设计思路整个电子节拍器可分为大体五个部分:拍速(bpm)产生部分、高低音频生成部分、节拍选择部分、数码管显示部分、声光提示部分。

首先由拍速产生部分生成一个与已选拍速相匹配的时钟和一个代表拍速的6位二进制数,时钟与6位二进制数分别进入节拍选择部分和数码管显示部分;节拍选择部分会根据按键操作生成高电平与低电平比例不同的与拍速同步的信号代表不同的节拍进入声光提示部分,并产生一个代表节拍的3位二进制数进入数码管显示部分;数码管显示部分根据6位二进制数和3位二进制数显示拍速和节拍类型;高低音频生成器生成两种音频分别代表强音与弱音,强音与弱音时钟均进入声光提示部分;声光提示部分根据由节拍选择部分发来的信号与强弱音时钟发出相应的提示声与灯光。

2.数码管显示部分节拍选择部分拍速产生部分声光提示部分高低音频生成部分总体框图3. 分块设计拍速产生器:将6位二进制数的十进制初始定义为40,对加减按键进行计时,计时功能通过一个经过分频的时钟来实现,短加减即改变1,长按即每秒改变5,最后通过一个检测来判断改变后的数值是否在40-120的区间内,若低于40则以40计,高于120以120计,并输出这个6位二进制数;通过计算将6位二进制数计算为相应的分频比并输出这一分频后的时钟(由于节拍器要求八分音符的节拍要求产生的时钟频率为对应拍速频率的二倍)。

电子声光节拍器课程设计

电子声光节拍器课程设计

电子声光节拍器课程设计一、课程目标知识目标:1. 学生能理解电子声光节拍器的基本原理,掌握其组成部分及功能。

2. 学生能运用电子声光节拍器的知识,分析并解决简单的音乐节奏问题。

3. 学生了解电子声光节拍器在现实生活中的应用,提高对科技与音乐结合的认识。

技能目标:1. 学生掌握电子声光节拍器的使用方法,能够独立操作并调整节拍器。

2. 学生通过实际操作,培养动手能力和团队协作能力。

3. 学生能够运用电子声光节拍器进行音乐创作和表演,提高音乐表现力。

情感态度价值观目标:1. 学生培养对音乐节奏的兴趣,激发学习音乐的积极性。

2. 学生在创作和表演过程中,体验团队合作的乐趣,增强自信心和成就感。

3. 学生认识到科技与艺术的结合之美,培养创新意识和审美观念。

本课程针对初中年级学生,结合电子声光节拍器相关知识,注重理论与实践相结合。

通过课程学习,使学生能够掌握电子声光节拍器的使用,提高音乐素养,培养创新精神和团队合作能力,为今后的音乐学习奠定基础。

同时,课程目标具体、可衡量,有助于教学设计和评估的实施。

二、教学内容1. 电子声光节拍器基本原理:介绍电子声光节拍器的工作原理,包括振荡器、分频器、计数器、显示屏等组成部分及其功能。

教材章节:《音乐与科技》第四章第三节“电子节拍器原理”2. 电子声光节拍器的使用方法:讲解如何使用电子声光节拍器,包括开关机、调整节拍、切换节奏模式等操作。

教材章节:《音乐与科技》第四章第四节“电子节拍器的使用”3. 节奏练习与应用:通过实际操作,让学生运用电子声光节拍器进行音乐节奏练习,结合教材中的实例进行创作和表演。

教材章节:《音乐与科技》第四章第五节“电子节拍器在音乐实践中的应用”4. 团队协作与创作:组织学生分组进行音乐创作和表演,鼓励他们运用电子声光节拍器进行创新实践。

教材章节:《音乐与科技》第四章第六节“团队合作与音乐创作”教学内容按照教学大纲安排,分为四个部分,共计8个课时。

电子节拍器的设计与实现

电子节拍器的设计与实现

电子节拍器的设计与实现四川信息职业技术学院毕业设计说明书(论文)设计(论文)题目:电子节拍器的设计与实现专业: 通信技术班级: 通技 06-2学号: 0620066姓名: 赵兵指导教师: 弥锐谭望春二OO八年十二月三十日四川信息职业技术学院毕业设计(论文)任务书教目录摘要 (1)第1章绪论 (2)第2章方案设计 (3)第3章单元电路的设计 (7) (7) (7)73.1.3 555定时器 (8)8 (10)10 (11) (12) (13) (14)第4章总体电路与电路检测 (15) (15) (16)4.3 电路工作的确认 (16)总结 (17)致谢 (18)参考文献 (19)附录PCB板底图 (20)摘要随着当今社会电子产品的飞速发展,电子产品也日新月异,许多高科技产品也慢慢介入了乐器市场,电子节拍器也步入市场,特别是在一些娱乐场所中,节拍器可让人们感受到音乐的美妙,对一些音乐爱好者来说也很重要,可以跟随节拍来更好的学习音乐知识。

本电路是利用RC环形振荡器、单稳多谐振荡、OTL放大扬声器电路设计一个能在1分钟内可在40~208次范围内快速调节发出节奏音乐的电子节拍器。

论文主要介绍了电子节拍器的选择方案和单元电路的设计以及电路的检测和确认。

关键词RC振荡器;单稳态多谐振荡器;OTL放大电路第1章绪论随着时代的进步,电子产品也日新月异,许多高科技产品也慢慢介入了乐器市场,节拍器现在几乎已经成为了初学者必备的学习工具,他的基本功能顾名思义就是帮助新手们树立并培养良好的节奏感,事实上今天的节拍器已经不只是这几点了他还有电子调音、节奏分类等功能尤其是电子调音。

产生音乐节拍的装置叫做节拍器。

电子节拍器具有强烈的节奏感,在娱乐场所里不时可以听到电子节拍器发出的有节奏的声音。

电子节拍器是一种能在各种频率中发出一种稳定的节拍的电子装置,作为一种节奏性乐器使用。

1696年巴黎人E-卢列创制第一架节拍器后,这种装置的种类就在不断更新,最普遍使用的是1816年由奥地利人J-N-梅尔策尔发明的节拍器。

电子节拍器设计

电子节拍器设计
该方案虽然实现了课题的绝大部分内容和要求,但还有些地方不够完善、错误和不妥之处,敬望读者批评和指正。

1.1 基本要求
要求本节拍器具有声光显示功能:设有2/4,3/4,4/4三档节拍转换开关。音响有强弱之分,节拍速度连续可调。
主要参考元器件:555,CD4017,LM386
1.2 系统结构组成
原理框图如图1.1所示。该电子节拍器主要由节拍脉冲产生电路、节拍信号产生电路、声音显示电路及灯光显示电路等组成。节拍脉冲产生电路产生周期性的脉冲信号,节拍信号产生电路产生2/4拍、3/4拍、4/4拍的控制信号。发声电路和显示电路同步显示节拍。
图2.3 工作波形图
其振荡频率决定拍速,调节R3可以改变振荡器的振荡频率,为使节拍速度不至太快或太慢,选择0~50K的可变电阻以及33uF的电容,使得振荡器IC1的振荡周期在0.5S到1.6S内可变。
2.2.2 节拍信号产生电路
CD4017,是一种用途非常广泛的十进制计数/分频器。有3个输入端(MR、CP0和~CP1),和11个输出端(Q0—Q9及1个进位端~Q5-9)。
图2.9声显示电路
放大电路可用LM386构成,电路如图2.10,放大倍数为Av=200,音量可通过电位器调节。
图2.10音频放大电路
三、 实验调试及测试结果与分析
3.1 调试
(1)设计完电路领到元器件后,开始准备连接电路。由于本次课程设计是两个同学一组,为确保两位同学都动手操作,作者与同组同学分工一人连接一半的电路,各自检查无明显错误后再将两部分电路连接起来 。为了在连线时不发生故障,作者与同组人遵循了以下原则:在接线之前断开所有的电源,检查所需芯片和导线是否完好,参照电路图,先把芯片插座在电路板上进行布局,然后合理、有序的连接电路。

简易电子节拍器课程设计

简易电子节拍器课程设计

简易电子节拍器课程设计一、课程目标知识目标:1. 学生能理解电子节拍器的基本原理和工作机制。

2. 学生能掌握简易电子节拍器电路图的识读和元件的使用。

3. 学生能掌握基本的电子元件知识,如电阻、电容、二极管等。

技能目标:1. 学生能够运用所学知识,独立完成简易电子节拍器的搭建。

2. 学生能够通过实验操作,培养动手能力,提高解决问题的能力。

3. 学生能够通过团队协作,培养沟通能力和团队精神。

情感态度价值观目标:1. 学生能够对电子技术产生兴趣,激发学习热情,形成积极的学习态度。

2. 学生能够认识到科技发展对生活的改变,增强创新意识。

3. 学生能够在学习过程中,培养耐心、细致的良好品质,树立正确的价值观。

课程性质:本课程为电子技术实践课程,注重理论知识与实际操作的相结合。

学生特点:六年级学生,具有一定的电子知识基础,好奇心强,喜欢动手操作。

教学要求:结合学生特点,以实践为主,注重培养学生的动手能力和团队协作能力。

通过课程学习,使学生能够将所学知识应用于实际操作中,提高学生的综合素养。

课程目标分解为具体学习成果,以便于教学设计和评估。

二、教学内容1. 电子节拍器原理:介绍电子节拍器的工作原理,包括振荡器、分频器、放大器和扬声器等组成部分。

相关教材章节:第五章第二节《振荡器与分频器》。

2. 电子元件知识:讲解电阻、电容、二极管等基本元件的作用和特性。

相关教材章节:第四章《电子元件》。

3. 电路图识读:教授如何阅读电子节拍器电路图,识别各元件连接关系。

相关教材章节:第六章第一节《电路图的识别和绘制》。

4. 实践操作:指导学生搭建简易电子节拍器,进行实际操作。

相关教材章节:第六章第三节《电子制作实践》。

5. 团队协作:分组进行项目实践,培养学生的团队协作能力。

教学内容安排与进度:第一课时:介绍电子节拍器原理,学习振荡器与分频器相关知识。

第二课时:讲解电子元件知识,学习电路图的识读。

第三课时:分组进行简易电子节拍器的搭建,实践操作。

11电子节拍器课程设计报告

11电子节拍器课程设计报告

电子课程设计报告课程设计名称:数字逻辑课程设计课程设计题目:电子节拍器院系名称:专业:班级:学号:姓名:评分:教师:日期2011 年月日摘要音乐能能够陶冶人的情操,进化人的灵魂,在贝多芬昏暗的世界里音乐奏响了他的第二次生命曲,随着音乐节奏的改变会带给人不一样的享受,而对节奏频率的改变进行检测会更容易让人理解。

本次设计电子节拍器采用了CD4017,555,8050,CD4069芯片等其他元器件组成完整数字电路,具有较好的声光显示功能。

并设有2/4,3/4,4/4,三档开关,它将RC振荡经过非门产生的脉冲通过分频器对指示灯LED亮暗的控制从而观察音律节奏的变化,分频器又输出脉冲经过三极管达到对电阻开关的控制以及改变555的振荡的频率,通过喇叭发出的声音可以明确的辨别节拍发生了改变。

设计实现的频率范围是1000KHZ左右,电源是5v,采用的技术方法还可以用在其他的领域,例如学音乐、学舞蹈、学唱歌节拍器都是初学者的良师益友。

关键字:节拍器频率分频器目录前言 (3)第一章设计内容及要求 (4)第二章系统的组成及工作原理 (5)第三章电路方案设计 (6)设计电路图 (6)第四章实验调试及测试结果与分析 (9)总结 (10)参考文献 (11)电路原理图 (12)元件清单 (13)前言电子节拍器是一种能在各种速度中发出一种稳定的节拍的电动或电子装置,作为一种节奏性乐器使用。

1696年巴黎人E.卢列创制第一架节拍器后,这种装置的种类很多,最普遍使用的是1816年由奥地利人J.N.梅尔策尔发明的节拍器。

梅尔策尔的节拍器外形呈现金字塔形,内部为时钟结构,有齿轮及发条,带动一摆杆,摆杆每次摆动结束时发出尖锐的“滴答”声,这些滴答声的速度可根据刻在摆杆上的游尺度上下移动摆锤,进行调整,其速度每分钟40~210拍。

约在1945年瑞士钟表业生产袖珍节拍器,形如挂表。

匈牙利作曲家G利盖蒂在《交响诗》中用过100个节拍器以不同的速度鸣响。

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

通信工程专业课程设计题单班级048131 学生孙伟杰课程名称电子课程设计课题电子节拍器的设计设计要求节拍器具有声光显示功能:设有2/4,3/4,4/4三档节拍转换开关,音响有强弱之分。

节拍速度连续可调。

课题发给日期2007年1月8号课程设计完成日期2007年1月19号指导教师陈黎娟评语:评分:摘要数据选择电路是数字系统中的常用单元电路,它主要由计数器组成。

在实际工作中,数据选择电路的应用场合很多,例如,在学习音乐中,同学培养节奏感用的音乐节拍器,就是数据选择电路的一种具体应用。

本次设计以NE555芯片和CD4093芯片组成振荡电路,驱动发光二极管发光和蜂鸣器发出声音,达到声音和发光同步。

CD4017组成的节拍选择控制电路。

当开关与不同的管脚接通时。

就会有不同的节拍。

该节拍器的设计采用模块化结构,有3个模块即节拍脉冲模块、节拍选择控制模块、以及声光同步显示模块组成。

此电路是以NE555及CD4093构成振荡器产生节拍脉冲,并将脉冲输入到CD4017构成的节拍选择控制开关,此电路是以NE555构成自激振荡器产生脉冲,并将脉冲输入到蜂鸣器产生节拍的声音,及驱动发光二级管,达到声光同步显示效果。

本次设计所实现的是2/4,3/4,4/4三档节拍转换。

节拍速度连续可调,音响有强弱。

采用的技术和方法还可用于儿童学习音乐培养节奏感或是喜欢音乐要入门的人练习有一定的帮助。

关键词:节拍器振荡器数据选择器目录前言 (4)第一章系统的组成与结构1.1 系统结构图 (5)第二章电子节拍器电路设计2.1方案论证及确定 (6)第三章具体电路设计3.1节拍脉冲振荡电路 (9)3.1.1 振荡电路 (9)3.1.2施密特触发器 (10)3.2显示电路 (10)3.3电子节拍控制电路 (11)第四章电路焊接与调试4.1电路的焊接 (13)4.1电路调试与分析 (13)结论 (14)参考文献 (15)附录 (16)前言最早的节拍器发明于1816年,发明者是德国的约翰•内波穆克•梅尔采尔。

他是机械乐器的创制人,曾研制出百音琴(即机械乐队)、助听器以及音乐节拍器。

1816年,他发明的第一只较为完善的节拍器在奥地利首都维也纳首次与公众见面,从此,节拍器就不胫而走,成为全世界音乐爱好者的宠物。

随着社会文明的进步和科学技术的发展,先进的电子技术在各个近代学科门类和技术领域占有不可或缺的核心地位。

在我国现代化建设的发展进程中,数字电子技术在国民经济和科学研究各个领域的应用也越来越广泛。

电子节拍器也越来越多,价格比较高,因此,我们可以通过所学的知识设计一种比较简单及成本较低的音乐节拍器。

电子节拍器在学习音乐中起着重要作用。

如下:1、确定乐曲的速度,有的曲子要求用某一速度进行练习,通过节拍器可以找到该速度;2、帮助对于节奏感较差的学生(拖拍、抢拍、节奏不稳),通过节拍器的辅助练习,一段时间后可以大大改善这种现象。

基本要求如下:1、要求本节拍器具有声光显示功能;2、设有2/4,3/4,4/4三档节拍转换开关,音响有强弱之分;3、节拍速度连续可调。

第一章系统的组成与结构1.1系统结构图如图1.1所示图1-1 电子节拍器系统结构图该电子节拍器主要是由节拍脉冲发生器、节拍种类控制器、声音显示及灯光显示等组成的。

节拍脉冲发生器是调节每拍的时间间隔,节拍种类控制器是选择2/4拍、3/4拍、4/4拍。

声音和灯光同时显示。

第二章电子节拍器电路设计1.方案论证及确定(1)方案一:如图1.1.1所示:图1.1.1 电子节拍器工作原理:当电源接通时,V CC通过电位器RP1、RP3和电阻R3、R4向电容C3充电。

当电容C3开始充电瞬间,由于IC1的2脚处处于零电平,所以输出端3脚呈高电平;随着电容C3的连续充电,2脚电位上升到VC2≥2/3VCC 时,输出端3脚由高电平变为低电平,IC1内的放电管导通,电容C3重新充电。

如此周而复始,形成振荡。

IC1的3脚有两路输出:一路有三极管VT5组成的快拍电平转换电路,经A1、A2反相器,送入二极管VD6、VD7构成的或门电路,又经A5、A6反相器,通过分压电位器RP4,三极管VT8放大,驱动蜂鸣器BL发出快节奏的节拍声。

另一路经三极管VT2放大,其集电极输出一部分信号由三极管VT3进一步放大,使发光二极管VD3随着快节奏的蜂鸣声而同步闪烁绿光;VT2集电极输出的另一部分信号给由IC2构成的计数/分配器作为时钟输入,由选择开关S2作为IC2的输出,以作为三种模拟数据选择器,通过IC2的1脚输出不同的脉宽,由三极管VT6射极输出去控制三极管VT7集电极输出方波信号,使之形成慢节拍,并通过或门电路中的二极管VD6,经反相器A5、A6去驱动三极管VT8使BL发出慢节奏的蜂鸣声。

此时,IC2的2脚出现有规律的忽高忽低电平,使三极管VT4在导通、截止两种状态之间交替转换,而发光二极管VD4将随着慢节奏的蜂鸣声而同步闪动着红色的光亮。

这样,选择开关S2置不同的挡位,蜂鸣器BL将发出有比例的快、慢节拍声,发光二极管VD3、VD4也跟随闪着有比例的红、绿闪光信号。

(2)方案二:如图1.1. 2所示:图1.1.1 电子节拍器工作原理:图中IC I-1、IC I-2(1/4CD4093)是用CMOS与非门构成的典型的方波振荡电路。

当电容C1为“1”、IC I-1输出为“0”D6导通;D5截止,使得IC I-1输入一个为“1”、一个为“0”经过与非门使输出为“1”如此反复,形成节拍脉冲振荡器。

通过RP1可以调节脉冲的时间间隔。

CD 4017为十进制计数/分配器。

它是由越翰逊计数器和译码器两部分组成。

他有三个输入端(复位端R、时钟端CP和CPE)和十给译码输出端Q0—Q9。

在复位状态时,只有Q0为高电平“1”状态。

其他输出端均为低电平状态“0”。

当有脉冲输入时,输出端依次变为高电平“1”状态,Q0端变为低电平“0”状态。

CD4017在实验中起到节拍种类控制器。

NE555在实验中提供脉冲驱动蜂鸣器BL,C I-3、IC I-4驱动发光二极管,使得能与蜂鸣器同步工作。

(3)比较及确定方案:方案一:优点:完整功能比较全。

缺点:电路比较复杂,不便封装。

且CD4022在生产生活中用的不是很广。

方案二:优点:功能完善,电路简单,元器件使用广泛,电路小封装简单。

缺点:元器件有点浪费。

经过比较讨论,本小组采用方案二。

第三章具体电路设计3.1节拍脉冲振荡电路3.1.1振荡电路如图3.1.1所示图3.1.1 节拍脉冲振荡电路节拍脉冲振荡电路是:当电容C1为“1”、IC I-1输出为“0”D6导通;D5截止,使得IC I-1输入一个为“1”、一个为“0”经过与非门使输出为“1”如此反复,形成节拍脉冲振荡器。

通过RP1可以调节脉冲的时间间隔。

从而为NE555提供驱动脉冲信号,NE555振荡使蜂鸣器BL发出声音。

T=(R4+2R5)*C*ln2 3.1.2施密特触发器如图2.1.2所示:施密特触发器的特点是:电路有两个稳定状态,电路状态的翻转依靠外触发电平来维持。

一旦外触发电平下降到一定电平后电路立即恢复大初始稳态。

其工作原理是施密特触发器有两个触发电平V TH和V TL,当输入信号大于V TH时,V O状态翻转;一直到Vi下降到低于V TH时V O又恢复到初始状态。

电路的回差电压V T=V TH—V TL。

集成施密特触发器由于性能好,触发电平稳定,得到了广泛应用。

例如CMOS 集成CD4093是2输入4与非门施密特触发器。

3.2显示电路如图3.2.1所示:图3.2.1 显示电路CD4093振荡时,其中的两个与非门为NE555提供驱动脉冲,使蜂鸣器发出声音。

另外两个与非门则控制LED1~LED3,从而使LED1~LED3和蜂鸣器发出的声音同步。

LED4~LED7一直亮着,表示是4拍的节奏。

CD4093其工作原理是施密特触发器有两个触发电平V TH和V TL,当输入信号大于V TH时,V O状态翻转;一直到Vi下降到低于V TH时V O又恢复到初始状态。

3.3电子节拍控制电路如图3.3.1所示:图 3.3.1 电子节拍控制电路以CD4017十进制计数器作为节拍选择控制器。

当S1和S2闭合时,电路为2/4拍,声音表现为强、弱、强、弱……反复出现,发光二极管为亮、暗、亮、暗……反复出现。

当S1、S2和S3同时闭合时,电路为3/4拍,声音表现为强、弱、弱;强、弱、弱……反复出现,发光二极管为亮、暗、暗,亮、暗、暗……反复出现。

当S1、S2、S3、S4同时闭合时,电路为4/4拍,声音表现为强、弱、弱、弱,强、弱、弱、弱……反复出现,发光二极管为亮、暗、暗、暗,亮、暗、暗、暗……反复出现。

CD4017十进制计数/分频器,它是一种用途非常广泛的电路。

其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2、…、O9依次出现与时钟同步的高电平,宽度等于时钟周期。

CD4017有3个输(MR、CP0和~CP1),MR为清零端,当在MR端上加高电平或正脉冲时其输出O0为高电平,其余输出端(O1~O9)均为低电平。

CP0和~CPl是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CPl端输入。

设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光。

CD4017有10个输出端(O0~O9)和1个进位输出端~O5-9。

每输入10个计数脉冲,~O5-9就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号。

由此可见,当CD4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。

第四章电路焊接与调试4.1 电路的焊接参照电路图,先把芯片插座在电路板上进行布局,然后按照安装原则进行合理、有序的焊接,注意虚焊漏焊,一些芯片的耐热性比较差,要防止烧坏芯片。

4.2 电路的调试与分析4.2.1 电路调试1、接上电源后,把开关S1合上,蜂鸣器发出声音(强、强……)发光二极管也同步发光(亮、亮……)。

2、同时把开关S1、S2合上。

蜂鸣器发出声音(强、弱,强、弱……)发光二极管也同步发光(亮、暗,亮、暗……)表示2/4拍的节奏。

3、同时把开关S1、S2和S3合上。

蜂鸣器发出声音(强、弱、弱,强、弱、弱……)发光二极管也同步发光(亮、暗、暗,亮、暗、暗……)表示3/4拍的节奏。

4、同时把开关S1、S2、S3及S4合上。

蜂鸣器发出声音(强、弱、弱、弱,强、弱、弱、弱……)发光二极管也同步发光(亮、暗、暗、暗,亮、暗、暗、暗……)表示4/4拍的节奏。

4.2.2 调试分析当把电源接上后,闭合S1开关后,锋鸣器发出声音,而发光二极管有三个会亮,但不稳定,有四个不亮,就用万用表检查显示电路,发现电容两只脚是虚焊,导致接触不良,使三个发光二极管工作不稳定,而另外四个是因为在电路板放置元器件时,粗心大意把正负极接反了,使之不能工作.修改显示电路后,发光二极管能正常工作,当把节拍控制开关(S2、S3、S4)闭合后,却无法改变电路工作状态,只能实现2/4拍。

相关文档
最新文档