项目四六十进制计数器的设计与制作
计数器的设计实验报告
计数器的设计实验报告篇一:计数器实验报告实验4 计数器及其应用一、实验目的1、学习用集成触发器构成计数器的方法2、掌握中规模集成计数器的使用及功能测试方法二、实验原理计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。
根据计数的增减趋势,又分为加法、减法和可逆计数器。
还有可预置数和可编程序功能计数器等等。
目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。
1、中规模十进制计数器CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。
图5-9-1 CC40192引脚排列及逻辑符号图中LD—置数端CPU—加计数端CPD —减计数端CO—非同步进位输出端BO—非同步借位输出端D0、D1、D2、D3 —计数器输入端Q0、Q1、Q2、Q3 —数据输出端CR—清除端CC40192的功能如表5-9-1,说明如下:表5-9-1当清除端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。
当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3 置入计数器。
当CR为低电平,LD为高电平时,执行计数功能。
执行加计数时,减计数端CPD 接高电平,计数脉冲由CPU 输入;在计数脉冲上升沿进行8421 码十进制加法计数。
执行减计数时,加计数端CPU接高电平,计数脉冲由减计数端CPD 输入,表5-9-2为8421码十进制加、减计数器的状态转换表。
加法计数表5-9-减计数2、计数器的级联使用一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。
设计60进制计数器 数电课程设计
电子技术基础实验课程设计用74LS161设计六十进制计数器学院:班级:姓名:学号:电气工程学院电自1418刘科20用74LS161设计六十进制计数器摘要计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。
计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。
如定时器,报警器、时钟电路中都有广泛用途。
在配合各种显示器件的情况下实现实时监控,扩展更多功能。
利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。
把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。
十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。
当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。
使用200HZ时钟信号作为计数器的时钟脉冲。
根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。
关键字:60进制,计数器,74LS161,级联目录第1章概述 (1)计数器设计目的 (1)计数器设计组成 (1)第2章六十进制计数器设计描述 (2)74LS161的功能 (2)方案框架 (3)第3章六十进制计数器的设计与仿真 (4)基本电路分析设计 (4)计数器电路的仿真 (6)第4章总结 (8)概述计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
根据计数制的不同,分为二进制计数器、十进制计数器和任意进制计数器。
实验四 多位十进制计数器的设计与实现
实验四多位十进制计数器的设计与实现(4 课时)实验目的1.熟练掌握设计电路下载到芯片的关键设置与基本步骤和利用实验箱上的输入信号和输出显示器件在线测试设计电路的方法。
2.学习使用VHDL 语言设计多位计数器和7 段译码电路的方法。
3.学习多位数码管的动态显示原理,掌握数码管驱动电路灵活设计方法。
实验原理1.米字形数码管(共阴)笔画接口:A1、A2、B、C、D1、D2、E、F、G、H、J、K、M、N、O、P、DP位选接口:sel0,sel1,sel2,sel3.sel0 Sel1 Sel2 Sel3 选中的数码管0 1 1 1 第4 位1 0 1 1 第3 位1 1 0 1 第2 位1 1 1 0 第1 位(右)2. 8 位7 段数码管(共阴)笔画接口:a、b、c、d、e、f、g、dp位选接口:sel0,sel1,sel2,sel3(可不用).Sel2 Sel1 Sel0 选中点亮的数码管1 1 1 第1 位(最右)1 1 0 第2 位1 0 1 第3 位1 0 0 第4 位0 1 1 第5 位0 1 0 第6 位0 0 1 第7 位0 0 0 第8 位实验内容与要求1.设计一个十进制计数器,具有显示位置随计数时钟在八个数码管中左右滚动的功能。
(6 分)2.设计一个符号显示电路,使其通过米字型数码管显示至少四页的自定义英文和数字符号。
(每页4 个字符)(3 分)3.设计一个4 位十进制计数器,具有加减计数功能和置数功能,并能通过数码管显示计数结果。
减数为零时发声报警。
(3 分)1:library IEEE;use IEEE.std_logic_1164.all;use IEEE.Std_logic_unsigned.all;entity cnt10 isport (clk : in std_logic;data_out : out std_logic_vector (7 downto 0);selout: out std_logic_vector (2 downto 0));end entity;architecture art1 of cnt10 isbeginprocess(clk)variable cnt1:integer range 0 to 9; beginif clk'event and clk='1' thencnt1:=cnt1+1;if cnt1>9 thencnt1:=0;end if;end if;case cnt1 iswhen 0 => data_out <= "11111100"; -- 0 when 1 => data_out <= "01100000"; -- 1 when 2 => data_out <= "11011010"; -- 2 when 3 => data_out <= "11110010"; -- 3 when 4 => data_out <= "01100110"; -- 4 when 5 => data_out <= "10110110"; -- 5 when 6 => data_out <= "10111110"; -- 6 when 7 => data_out <= "11100000"; -- 7 when 8 => data_out <= "11111100"; -- 8 when 9 => data_out <= "11101110"; -- 9 when others => NULL;end case;end process;process(clk)variable cntsel:integer range 0 to 13; beginif clk'event and clk='1' then cntsel:=cntsel+1;if cntsel>13 thencntsel:=0;end if;end if;case cntsel iswhen 0 => selout <= "111";when 1 => selout <= "110";when 2 => selout <= "101";when 3 => selout <= "100";when 4 => selout <= "011";when 5 => selout <= "010";when 6 => selout <= "001";when 7 => selout <= "000";when 8 => selout <= "001";when 9 => selout <= "010";when others => NULL;end case;end process;end art1;2:Library IEEE;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity miguan isport( clk : in std_logic;WX : out std_logic_vector (3 downto 0);DX : out std_logic_vector (15 downto 0)); End entity miguan;Architecture bhv of miguan isType state is(st0,st1,st2,st3);Signal current_state:state :=st0;Signal next_state:state;Signal shu1 : integer range 0 to 3;Signal shu2 : std_logic_vector(13 downto 0); Signal A,B,C,D:std_logic_vector(15 DOWNTO 0); Beginprocess (clk) isBeginIf (clk'event and clk='1') thenshu2<=shu2+"00000000000001";If shu2="11111111111111"thencurrent_state<=NEXT_STATE;elsecurrent_state<=current_state;End if;End if;End process;Process (current_state)BeginCase current_state iswhenst0=>A<="0110101000000000";--xB<="0000000011110000";--lC<="1000010000011110";--dD<="0001000110111011";--sNEXT_STATE<=ST1;whenst1=>A<="0000000011111100";--UB<="1000010000000011";--TC<="0001000111110011";--ED<="0011000111000111";--RNEXT_STATE<=ST2;whenst2=>A<="0000000011111111";--0B<="0001000111111011";--6C<="0000000000001100";--1D<="0001000111111111";--8NEXT_STATE<=st3;whenst3=>A<="0001000111111011";--6B<="1001010110000000";--4C<="0001000110111011";--5D<="0000000000001100";--1NEXT_STATE<=ST0;End case;End process;Process (clk) isBeginif rising_edge(clk) thenif shu1>3 thenshu1<=0;elseshu1<=shu1+1;end if;case shu1 isWHEN 0 =>WX<="1110";DX<=A;WHEN 1 =>WX<="1101";DX<=B;WHEN 2 =>WX<="1011";DX<=C;WHEN 3 =>WX<="0111";DX<=D;End case;End if;End process;End architecture bhv;3:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity wybcount4 isport(count_clk,saopin_clk,en,load,reset,add_sub:in std_logic;data_in3:in std_logic_vector(3 downto 0);--Left1data_in2:in std_logic_vector(3 downto 0);data_in1:in std_logic_vector(3 downto 0);data_in0:in std_logic_vector(3 downto 0);--Right1duanxuan:out std_logic_vector(7 downto 0);--duan xuansel:out std_logic_vector(1 downto 0);--wei xuanbell:out std_logic);end entity wybcount4;architecture beh of wybcount4 isconstant num0:std_logic_vector:="01111110";constant num1:std_logic_vector:="00001100";constant num2:std_logic_vector:="10110110";constant num3:std_logic_vector:="10011110";constant num4:std_logic_vector:="11001100";constant num5:std_logic_vector:="11011010";constant num6:std_logic_vector:="11111010";constant num7:std_logic_vector:="00001110";constant num8:std_logic_vector:="11111110";constant num9:std_logic_vector:="11011110";function number(x:std_logic_vector) return std_logic_vector is begincase x iswhen "0000" => return num0;when "0001" => return num1;when "0010" => return num2;when "0011" => return num3;when "0100" => return num4;when "0101" => return num5;when "0110" => return num6;when "0111" => return num7;when "1000" => return num8;when "1001" => return num9;when others =>return "00000000";end case;end number;signal Q3:std_logic_vector(3 downto 0);--zhong jian zhisignal Q2:std_logic_vector(3 downto 0);signal Q1:std_logic_vector(3 downto 0);signal Q0:std_logic_vector(3 downto 0);beginprocess(count_clk,reset,en,load,add_sub) isbegin--counter10IF reset = '0' THENQ0<=(OTHERS => '0');Q1<=(OTHERS => '0');Q2<=(OTHERS => '0');Q3<=(OTHERS => '0');ELSIF rising_edge(count_clk) THENif en='0' thenif load='0' thenQ0<=data_in0;Q1<=data_in1;Q2<=data_in2;Q3<=data_in3;elsif add_sub='0' then--addQ0<=Q0+1;if Q0>=9 thenQ0<="0000";Q1<=Q1+1;if Q1>=9 thenQ1<="0000";Q2<=Q2+1;if Q2>=9 thenQ2<="0000";Q3<=Q3+1;if Q3>=9 thenQ3<="0000";end if;end if;end if;end if;else--subQ0<=Q0-1;if Q0<=0 thenQ0<="1001";Q1<=Q1-1;if Q1<=0 thenQ1<="1001";Q2<=Q2-1;if Q2<=0 thenQ2<="1001";Q3<=Q3-1;if Q3<=0 thenQ3<="1001";end if;end if;end if;end if;end if;end if;END IF;if (Q0="0000" and Q1="0000" and Q2="0000" and Q3="0000") then bell<='1';elsebell<='0';end if;end process;process(saopin_clk) is--sao pin xian shivariable qq:std_logic_vector(0 to 1);beginif (saopin_clk'event and saopin_clk='1') thenif qq<=3 then qq:=qq+1;else qq:="00";end if;end if;case qq iswhen "00" => sel<="00";duanxuan<=number(Q0);when "01" => sel<="01";duanxuan<=number(Q1);when "10" => sel<="10";duanxuan<=number(Q2);when "11" => sel<="11";duanxuan<=number(Q3);end case;end process;end architecture beh;。
10进制计数器课程设计
10进制计数器课程设计一、课程目标知识目标:1. 学生能理解10进制计数器的基本概念,掌握10进制数的组成和计数规律。
2. 学生能运用10进制计数器进行数值的加减运算,并正确表达计算过程和结果。
3. 学生了解10进制计数器在日常生活和科学技术中的应用。
技能目标:1. 学生能够独立操作10进制计数器,进行简单的数值计算。
2. 学生通过实际操作,培养观察、分析、解决问题的能力。
3. 学生通过小组合作,提高沟通、协作和团队意识。
情感态度价值观目标:1. 学生对10进制计数器产生兴趣,激发学习数学的积极性。
2. 学生在探索过程中,培养耐心、细致、勇于尝试的精神。
3. 学生认识到数学知识在实际生活中的重要性,增强学以致用的意识。
课程性质:本课程属于数学学科,旨在帮助学生掌握10进制计数器的运用,提高数学运算能力和实际应用能力。
学生特点:四年级学生具有一定的数学基础,好奇心强,喜欢动手操作,但注意力集中时间较短。
教学要求:注重理论与实践相结合,以学生为主体,激发学生兴趣,培养动手操作能力和团队协作精神。
通过分解课程目标为具体的学习成果,使学生在愉快的氛围中掌握知识,提高能力。
二、教学内容1. 引入10进制计数器概念,介绍其在数学运算中的应用。
- 教材章节:第三章《数的认识》- 内容:10进制计数器的定义、计数规律、数位顺序表。
2. 学习10进制计数器的操作方法,进行数值的加减运算。
- 教材章节:第四章《简单的数学计算》- 内容:10进制计数器操作方法、数值加减运算、进位与退位。
3. 实践与应用,运用10进制计数器解决实际问题。
- 教材章节:第五章《生活中的数学》- 内容:运用10进制计数器进行购物找零、时间计算等实际问题的解决。
4. 小组合作,探讨10进制计数器在科学技术领域的应用。
- 教材章节:第六章《数学与科技》- 内容:10进制计数器在计算机、电子设备等领域的应用案例。
教学进度安排:第一课时:引入10进制计数器概念,学习计数规律和数位顺序表。
六十进制计数器的仿真与设计
2.1 概述 由设计任务可知,六十进制计数器由一个十进制计数器(计数状态 0~9)和一个
六进制计数器(计数状态 0~5)级联构成,在计数状态 59 的下一个状态产生清零信 号,同时产生进位输出。根据自己所学的知识,可以采用同步十进制计数器 74LS160 级联的形式来构成六十进制计数器。首先,将两片 74160 串联起来构成一个一百进制 计数器。其中,第一片记的是十位,第二片记的是个位。然后,再用置数法将得到的 百进制计数器改接为六十进制计数器。设计数器从全零开始计数,则计入 59 个脉冲 以后,第一片计成 Q3Q2Q1Q0=0101(5),第二片计成 Q3Q2Q1Q0=1001(9),与非门的 输出使两片 74160 的 LD’同时为低电平。当下一个(第六十个)计数输入脉冲到达时, 两个 74160 同时被置零,返回起始状态。这样就得到了六十进制计数器。
器
其功能表如下:
表 1 十进制计数器功能表
CP
Rd
LD
EP
X
0
X
↑
1
0
XX11来自0X1
1
X
↑
1
1
1
图 3 与非门 7420N 逻辑框图
原理说明:
与非门逻辑关系:Y=(ABCD)’
图 4 非门 74LS04D 逻辑框图
原理说明:
非门逻辑关系:Y=(A)’
图 5 用于计数的发光二极管
ET
工作状态
X
置零
X
预置数
通过查阅资料对不同的设计方案进行比较论证,由于 Multisim7 电路仿真软件采 用交互式界面,比较直观,操作简便,具有丰富的元器件库和品种繁多的虚拟仪器以 及强大的分析功能等特点,因而,采用 Multisim7 电路仿真软件进行设计电路并仿真
课程设计任意进制计数器
课程设计任意进制计数器一、教学目标本课程旨在让学生了解和掌握任意进制计数器的工作原理和应用方法。
通过本课程的学习,学生应达到以下目标:1.了解不同进制数系统的定义和转换方法。
2.掌握任意进制计数器的基本结构和原理。
3.熟悉常见进制计数器的使用方法和操作技巧。
4.能够进行不同进制数之间的转换。
5.能够设计和制作简单的任意进制计数器。
6.能够运用任意进制计数器解决实际问题。
情感态度价值观目标:1.培养学生的逻辑思维和问题解决能力。
2.培养学生对数学和科学的兴趣和好奇心。
3.培养学生的团队合作和创新精神。
二、教学内容本课程的教学内容主要包括以下几个部分:1.进制数系统的介绍和转换方法。
2.任意进制计数器的基本原理和结构。
3.常见进制计数器的使用方法和操作技巧。
4.任意进制计数器在实际问题中的应用案例。
5.第一节课:进制数系统的介绍和转换方法。
6.第二节课:任意进制计数器的基本原理和结构。
7.第三节课:常见进制计数器的使用方法和操作技巧。
8.第四节课:任意进制计数器在实际问题中的应用案例。
三、教学方法为了激发学生的学习兴趣和主动性,本课程将采用多种教学方法:1.讲授法:教师通过讲解和示例来传授知识,引导学生理解和掌握进制数系统和任意进制计数器的基本概念。
2.讨论法:学生分组进行讨论和实验,共同探索和解决问题,培养学生的团队合作和问题解决能力。
3.实验法:学生动手制作和操作任意进制计数器,通过实践来加深对进制计数器原理和使用的理解。
四、教学资源为了支持教学内容和教学方法的实施,我们将准备以下教学资源:1.教材:提供相关的教材和参考书籍,供学生预习和复习。
2.多媒体资料:通过PPT、视频等多媒体资料,帮助学生形象地理解进制数系统和任意进制计数器的工作原理。
3.实验设备:准备一些简单的进制计数器设备,供学生在实验环节进行实际操作和探索。
以上是根据课程目标、教学内容、教学方法和教学资源设计的示范课程。
希望这个设计能够为您的教学提供一些参考和启发。
60进制计数器
题目60计数器60进制计数器主要内容:利用QuartusII设计一个六十进制计数器。
该电路是采用整体置数法接成的六十进制计数器。
首先需要两片74160接成一百进制的计数器,然后将电路的60状态译码产生LD′=0信号,同时加到两片74160上,在下一个计数脉冲(第60个计数脉冲)到达时,从而得到六十进制计数器。
主要要求如下:(1)每隔1个周期脉冲,计数器增1;(2)当计数器递增到60时,进位端波形发生跳变,说明计数器产生进位信号,之后计数器会自动返回到00并重新计数;(3)本设计主要设备是两片74160同步十进制计数器,时钟信号通过建立波形文件得以提供。
1方案选择与电路原理图的设计使用具有一定频率的时钟信号作为计数器的时钟脉冲作为同步控制信号,整体电路通过两片74160与其他门电路辅助等单元电路构成以实现置数进位功能。
图2.1为六十进制计数器的总体电路原理框图。
图1.1 电路原理框图1.1单元电路一:十进制计数器电路(个位)本电路采用74160作为十进制计数器,它是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器。
每输入10个计数脉冲,计数器便工作一个循环,并且在进位端RCO产生一个进位输出信号。
其功能表如表2-1所示,连接方式如图2.2所示。
此片工作时进位端RCO在没有进位时RCO=0,因此第二片ENP·ENT=0,第二片不工作。
表2-1 同步十进制计数器功能表在新建好的block文件的图形编辑窗口中双击鼠标,或点击图中“符号工具”按钮,或者选择菜单Edit下的Insert Symbol命令,即可对元件进行选择。
选择元件库中的ot hers—maxplus2—74160。
点击工具栏中Orthogonal Node Tool按钮便可以对端子间进行连线,其中值得注意的是,点击工具栏中Orthogonal Bus Tool按钮可以通过总线进行连接。
1.2 单元电路二:十进制计数器(十位)本电路同样采用74160作为十进制计数器,如图2.3所示。
电子技术基础实验课程设计-用74LS161设计六十进制计数器
电子技术基础实验课程设计用74LS161设计六十进制计数器学院:班级:姓名:学号:电气工程学院电自1418用74LS161设计六十进制计数器摘要计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。
计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。
如定时器,报警器、时钟电路中都有广泛用途。
在配合各种显示器件的情况下实现实时监控,扩展更多功能。
利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。
把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。
十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。
当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。
使用200HZ时钟信号作为计数器的时钟脉冲。
根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。
关键字:60进制,计数器,74LS161,级联目录第1章概述 (1)1.1 计数器设计目的 (1)1.2 计数器设计组成 (1)第2章六十进制计数器设计描述 (2)2.1 74LS161的功能 (2)2.2 方案框架 (3)第3章六十进制计数器的设计与仿真 (4)3.1 基本电路分析设计 (4)3.2 计数器电路的仿真 (6)第4章总结 (8)第1章概述计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
进制计数器课程设计
进制计数器课程设计一、课程目标知识目标:1. 让学生掌握进制计数器的基本概念,包括二进制、八进制、十进制和十六进制的转换方法;2. 使学生理解进制计数器在实际应用中的重要性,如计算机科学、电子技术等领域;3. 帮助学生了解不同进制之间的相互转换规律,提高学生的数学思维能力。
技能目标:1. 培养学生熟练运用进制计数器进行不同进制间转换的能力;2. 提高学生分析问题、解决问题的能力,尤其在电子技术、计算机编程等领域的问题;3. 培养学生团队协作和沟通能力,通过小组讨论和实践,共同完成任务。
情感态度价值观目标:1. 激发学生对进制计数器的学习兴趣,培养其探索精神和创新意识;2. 培养学生严谨的学习态度,对待科学知识具有敬畏之心;3. 增强学生的国家荣誉感和民族自豪感,认识到我国在进制计数器领域的发展成就。
课程性质:本课程为信息技术与数学相结合的实践课程,强调理论与实践相结合,注重培养学生的动手能力和实际应用能力。
学生特点:本课程针对初中生,学生具有一定的数学基础和逻辑思维能力,但需加强实际操作能力和团队协作能力的培养。
教学要求:教师应结合学生实际情况,采用启发式教学,引导学生主动探究,注重培养学生的实践能力和创新能力。
在教学过程中,关注学生的个体差异,因材施教,确保每位学生都能达到课程目标。
通过课程学习,使学生具备进制计数器相关知识和技能,为后续相关课程的学习打下坚实基础。
二、教学内容1. 导入:通过日常生活中的计数实例,引出进制计数器的概念及其重要性。
- 简介进制计数器的基本概念和应用领域。
- 激发学生对进制计数器的学习兴趣。
2. 基本知识学习:- 二进制、八进制、十进制和十六进制的定义及特点;- 不同进制之间的转换方法,如二进制与十进制的转换、八进制与十六进制的转换等。
3. 实践操作:- 安排学生进行进制计数器操作练习,巩固所学知识;- 设计实际案例,让学生动手解决进制计数器相关问题。
4. 拓展与应用:- 介绍进制计数器在计算机科学、电子技术等领域的应用;- 引导学生探讨进制计数器的未来发展及其对人类生活的影响。
六十进制计数器
实验五考核实验——六十进制计数
一、实验目的
1、了解可编程数字系统设计的流程
2、掌握Quartus II 软件的使用方法
3、掌握Quartus II 软件的使用方法
4、掌握Quartus II 软件的使用方法
二、实验设备
1、计算机:Quartus II 软件
2、掌握Quartus II 软件的使用方法
3、集成电路:74LS161,任意与非门等。
三、实验原理
1、74LS161:异步清零、同步置数四位二进制计数器
2、引脚定义
3、74LS161功能表
四、实验内容
1、实现60进制计数,计数器用74LS161(2片),其它器件任选
2、七段码显示00、01、02、03 、…、57、58、59
要求:
(1)用原理图输入方式完成
(2)给出仿真波形
(3)计数脉冲CLK接BUTTON0,计数结果接7段码HEX1和HEX0显示
五、实验结果
1、实验原理图:
2、实验波形仿真图
3、引脚分配图
六、实验心得
1、同步异步计数器区分:同步计数器的触发信号是同一个信号。
具体来说,每一级的触发器接的都是同一个CLK信号。
异步计数器的触发信号时不同的,例如第一集的输出Q'作为第二级的触发信号。
几进制的区分:看数据输出端得接线方法,当接线满足拿个计数时会导致“清零”端或者是“置数端”满足工作状态。
2、异步计数器中第二级如果采用置数法,就需要置数的时候给该级提供相应的时钟信号,否则不能完成置数。
计数器的设计实验报告
计数器的设计实验报告一、实验目的本次实验的目的是设计并实现一个简单的计数器,通过对计数器的设计和调试,深入理解数字电路的基本原理和逻辑设计方法,掌握计数器的工作原理、功能和应用,提高自己的电路设计和调试能力。
二、实验原理计数器是一种能够对输入脉冲进行计数,并在达到设定计数值时产生输出信号的数字电路。
计数器按照计数方式可以分为加法计数器、减法计数器和可逆计数器;按照计数进制可以分为二进制计数器、十进制计数器和任意进制计数器。
本次实验设计的是一个简单的十进制加法计数器,采用同步时序逻辑电路设计方法。
计数器由触发器、门电路等组成,通过对触发器的时钟信号和输入信号的控制,实现计数功能。
三、实验设备与器材1、数字电路实验箱2、集成电路芯片:74LS160(十进制同步加法计数器)、74LS00(二输入与非门)、74LS04(六反相器)3、示波器4、直流电源5、导线若干四、实验内容与步骤1、设计电路根据实验要求,选择合适的计数器芯片 74LS160,并确定其引脚功能。
设计计数器的清零、置数和计数控制电路,使用与非门和反相器实现。
画出完整的电路原理图。
2、连接电路在数字电路实验箱上,按照电路原理图连接芯片和导线。
仔细检查电路连接是否正确,确保无短路和断路现象。
3、调试电路接通直流电源,观察计数器的初始状态。
输入计数脉冲,用示波器观察计数器的输出波形,检查计数是否正确。
若计数不正确,逐步排查故障,如检查芯片引脚连接、电源电压等,直至计数器正常工作。
4、功能测试测试计数器的清零功能,观察计数器是否能在清零信号作用下回到初始状态。
测试计数器的置数功能,设置不同的预置数,观察计数器是否能按照预置数开始计数。
五、实验结果与分析1、实验结果成功实现了十进制加法计数器的设计,计数器能够在输入脉冲的作用下进行正确计数。
清零和置数功能正常,能够满足实验要求。
2、结果分析通过对计数器输出波形的观察和分析,验证了计数器的工作原理和逻辑功能。
60进位计数器课程设计
60进位计数器课程设计一、教学目标本课程旨在通过60进位计数器的学习,让学生掌握进位计数的基本原理和操作方法,培养学生的逻辑思维能力和动手操作能力。
具体目标如下:1.了解60进位计数器的结构和工作原理。
2.掌握60进位计数器的操作方法。
3.理解进位计数的基本概念和应用。
4.能够独立操作60进位计数器。
5.能够进行简单的进位计数运算。
6.能够运用60进位计数器解决实际问题。
情感态度价值观目标:1.培养学生的团队合作意识和动手操作兴趣。
2.培养学生对数学和科学的热爱和好奇心。
3.培养学生解决问题的自信心和自主学习能力。
二、教学内容本课程的教学内容主要包括60进位计数器的结构和工作原理、操作方法以及应用。
具体安排如下:1.60进位计数器的结构和工作原理:介绍60进位计数器的各个部分及其功能,解释其工作原理。
2.60进位计数器的操作方法:讲解如何进行数字的输入、显示和清除,如何进行进位和借位的操作。
3.进位计数的基本概念和应用:介绍进位计数的基本概念,如十进制、二进制等,并展示其在实际问题中的应用。
三、教学方法为了激发学生的学习兴趣和主动性,本课程将采用多种教学方法,如讲授法、讨论法、案例分析法和实验法等。
1.讲授法:通过教师的讲解,让学生了解60进位计数器的结构和工作原理,掌握进位计数的基本概念和应用。
2.讨论法:通过小组讨论,培养学生的团队合作意识和解决问题的能力。
3.案例分析法:通过分析实际问题,让学生学会运用60进位计数器解决实际问题。
4.实验法:通过动手操作60进位计数器,培养学生的动手操作能力和实践能力。
四、教学资源为了支持教学内容和教学方法的实施,丰富学生的学习体验,我们将选择和准备以下教学资源:1.教材:选用《60进位计数器操作指南》作为主要教材,介绍60进位计数器的结构、操作方法和应用。
2.参考书:提供相关的参考书籍,供学生深入学习和拓展知识。
3.多媒体资料:制作PPT、视频等多媒体资料,生动展示60进位计数器的操作过程和应用实例。
数电课程设计(60进制计数器设计)
目录摘要: (2)1设计题目 (2)1.1设计要求 (2)2题目分析 (2)3设计思路与原理 (3)3.1 LED简介 (3)3.2 芯片74290及六十进制计数器的设计 (4)3.3 三十九进制计数器 (6)4电路图的仿真 (7)4.1六十进制计数器的仿真 (7)4.2三十九进制计数器的仿真 (8)5仪器列表 (9)6心得体会 (9)7参考文献 (10)摘要:要获得N进制计数器,常用的方法有两种:一是用时钟触发器和门电路来设计:二是用集成计数器来构成。
当要得到一些进制数大的计数器时,用时钟触发器和门电路来实现就显的很复杂。
我们就可以用集成计数器来构成,当然集成计数器是厂家已定型的产品,其函数关系已被固化在芯片中,状态分配以及编码我们自己是不可以更改的,而且多为纯自然态序编码,因而利用清零端或置数控制端,让电路跳过某些状态而获得N进制的计数器。
1设计题目60进制计数器的设计1.1设计要求(1)要求学生掌握74系列的芯片和LED的原理和使用方法。
(2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。
1.2设计任务(1)完成一个60进制的计数器。
(2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。
59显示后,又从00重新开始计数。
2题目分析要实现60进制的计数器,单用一片计数器无法实现,我们可以利用级联方式获得大容量的N进制计数器,60进制的计数器就可以由六进制和十进制计数器级联起来构成。
CP 3设计思路与原理 3.1 LED 简介LED 是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发光二极管构成小数点。
七段发光管分别称为a 、b 、c 、d 、e 、f ,g ,构成字型“8”,如图(a )所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。
不加电压则变暗,为了保护各段LED 不被损坏,需外加限流电阻。
信号源 计数器数码显示器十进制计数器(个位)六进制计数器(十位)其真值表如下。
《数字电子技术》课程标准(含课程思政)
《数字电子技术》课程标准一、课程基本信息【开课时间】第1学期【学时/学分数】48学时/3学分【课程类型】群平台课【授课对象】物联网和互联网专业群二、课程性质“数字电子技术”是高职物联网技术应用专业群的一门专业基础课。
本课程的主要内容是介绍逻辑函数的表达方式、组合逻辑电路的一般分析方法与简单的逻辑事件的设计方法、时序电路的一般分析方法与设计方法、常用数字集成块芯片的选用、数模转换电路的分析等。
课程开设的目的是让学生通过课程学习获得必要的数字电子技术方面的基本理论知识和操作技能,为以后深入学习数字电子技术在专业领域中的设计和应用打下基础。
通过本课程的学习,使学生掌握数字电子技术的基本概念和技术新知识,培养学生分析中小规模数字集成电路的能力以及根据需要设计和调试功能电路的能力,了解目前常用的各种中大规模集成电路的应用,为后继课程学习等打下基础,为学生从事专业技术工作奠定坚实的基础。
后继课程为《模拟电子技术》、《单片机与接口技术》、《人工智能》等。
三、课程培养目标使学生掌握数字电子技术的基本原理、基本理论、基本知识,具有较强的实验技能,对学生进行电子设计能力训练,为学习后续专业,课程准备必要的知识,并为今后从事有关实际工作奠定必要的基础。
在学习中认识电子技术对现代科学技术重大影响和各种应用,了解并适当涉及正在发展的学科前沿。
1、素质目标(1)培养学生具备正确的政治观念和道德素质等德育品质,具备强烈的工作责任心、科学严谨的工作作风、认真负责的工作态度、团队合作的精神;(2)培养学生安全、环保、质量与效率意识;(3)培养学生具备劳动意识、劳动技能等劳动品质,具有生产观点、经济观点,培养较好的心理素质,具有良好的职业道德素养;(4)培养学生的信息技术应用能力、创新创业能力、实践动手能力;(5)培养学生具备欣赏美,创造美等美育品质,养成爱护工具设备、保护实训环境的良好习惯;(6)通过思政课堂的实施,培养学生的热爱祖国、爱岗敬业的精神,形成正确的人生观、价值观。
4位十进制频率计的设计 计算机与电子等专业课程设计
4位十进制频率计的设计计算机与电子等专业课程设计4位十进制频率计的设计一、主要指标和要求1.设计4位十进制频率计,学习较复杂的数字系统设计方法;2.深入学习数字系统设计的方法与步骤;3.用元件例化语句写出频率计的顶层文件;4.用VHDL硬件描述语言进行模块电路的设计;5.设计硬件要求:PC机,操作系统为Windows2000/XP,本课程所用系统均为max+plus II 5.1设计平台,GW48系列SOPC/EDA实验开发系统。
二、工作原理以及方案选择原理工作说明:根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1秒的对输入信号脉冲计数允许的信号;1秒计数结束后,计数值锁入锁存器的锁存信号和为下一测频计数周期作准备的计数器清0信号。
这3个信号可以由一个测频控制信号发生器产生,即图(a)中的TESTCTL,它的设计要求是,TESTCTL的计数使能信号CNT_EN 能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的ENA使能端进行同步控制。
当CNT_EN高电平时,允许计数;低电平时停止计数,并保持其所计的脉冲数。
在停止计数期间,首先需要产生一个锁存信号LOAD,在该信号上升沿时,将计数器在前1秒钟的计数值锁存进各锁存器REG4B中,并由外部的7段译码器译出,显示计数值。
设置锁存器的好处是,显示的数据稳定,不会由于周期性的清零信号而不断闪烁。
锁存信号之后,必须有一清零信号RST_CNT对计数器进行清零,为下1秒钟的计数操作作准备。
其工作时序波形如图(a)。
图(a) 频率计测频控制器TESTCTL测控时序图图 (b) 4位十进制频率计顶层文件原理图选择的设计方案:1.根据频率计的工作原理,将电路划分成控制器、计数器、锁存器和LED显示几个模块,控制器——产生1秒脉宽的计数允许信号、锁存信号和计数器清零信号计数器——对输入信号的脉冲数进行累计锁存器——锁存测得的频率值LED显示——将频率值显示在数码管上2.根据图(a)、(b)及(1)(2)描述的4位十进制频率计的工作原理,利用max+ plusII5.1对以上三者的程序进行文本编辑输入和仿真测试并根据图(b),写出频率计的顶层文件,并给出其测频时序波形,及其分析。
基于Quartus六十进制计数器的设计
EDA技术实践课程设计 ED技术实践课程设201 2 六十进制计数电气信息工程学院电气专业班学生姓学生学指导教EDA技术实践课程设计任务书课程 EDA技术实践课程设计题目六十进制计数器专业姓名学号主要内容:利用QuartusII设计一个六十进制计数器。
该电路是采用整体置数法接成的六十进制计数器。
首先需要两片74160接成一百进制的计数器,然后将电路的59状态译码LD′=0信号,同时加到两片74160上,在下一个计数脉冲(第60个计数脉冲)产生到达时,将0000同时置入两片74160中,从而得到六十进制计数器。
主要要求如下:(1)每隔1个周期脉冲,计数器增1;(2)当计数器递增到59时,进位端波形发生跳变,说明计数器产生进位信号,之后计数器会自动返回到00并重新计数;(3)本设计主要设备是两片74160同步十进制计数器,时钟信号通过建立波形文件得以提供。
主要参考资料:[1] 朱正伟.EDA技术及应用[M].第2版.北京:清华大学出版社,2013.[2] 李国洪.EDA技术与实验[M].北京:机械工业出版社,2009.[3] 陈忠平,高金定,高见芳.基于QuartusII的FPGA/CPLD设计与实践[M].北京:电子工业出版社,2010.[4] 杨颂华.数字电子技术基础[M].第2版.西安:西安电子科技大学出版社,2009.[5] 阎石.数字电子技术基础[M].第5版.北京:高等教育出版社,2006.[6] 康华光.电子技术基础:数字部分[M].北京:高等教育出版社,2000.完成期限——指导教师专业负责人日18月 7 年2014.目录1 设计 ...................................................................2 方案选择与电路原理图的设计 .............................................单元电路一:十进制计数器电路(个位) ................................. 单元电路二:十进制计数器(十位) ..................................... 单元电路三:置数与进位电路 ...........................................3 元件选取与电路图的绘制 .................................................元件选取 .............................................................电路图的绘制 .........................................................4 编译设计文件 ...........................................................5 仿真设计文件 ...........................................................6 总结 ...................................................................参考文献 .................................................................1设计1六十进制计数器的功能要求: 1;1(1)每隔个周期脉冲,计数器增时,进位端波形发生跳变,说明计数器产生进位信)当计数器递增到59(2 00并重新计数;号,之后计数器会自动返回到同步十进制计数器,时钟信号通过建立波74160)本设计主要设备是两片(3 形文件得以提供。
实验三 4位十进制频率计设计
实验三4位十进制频率计设计一、实验目的1.掌握数字频率计的Verilog描述方法;2.学习设计仿真工具的使用方法3.学习层次化设计方法;二、实验原理根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽位1秒的输入信号脉冲计数允许信号;1秒计数结束后,计数值锁入锁存器的锁存信号和为下一次测频计数周期做准备的计数器清零信号。
这3个信号由测频控制信号发生器产生,它的设计要求是,测频控制信号发生器的计数使能信号输出CNT_EN 能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的ENA使能端进行同步控制。
当CNT_EN 高电平时,允许计数;当CNT_EN低电平时停止计数,并保持所计的脉冲数。
在停止计数期间,首先需要一个锁存信号LOAD的上跳沿将计数器在前1秒钟的计数值锁存进各个锁存器REG4B中,并由外部的七段译码器译出,显示计数值。
设置锁存器的好处是,显示数据稳定,不会由于周期性的清零信号而不断闪烁。
信号锁存之后,还必须用清零信号RST_CNT对计数器进行清零,为下1秒钟的计数操作做准备。
三、实验内容1、用4位十进制计数器对用户输入时钟进行计数,计数间隔为1秒,计数满1秒后将计数值(即频率值)锁存到4位寄存器中显示,并将计数器清0,再进行下一次计数。
2、为上述设计建立元件符号3、设计仿真文件,进行验证。
4、编程下载并在实验箱上进行验证四、实验步骤程序源代码module FREG (clk1HZ,uclk,led0,led1,led2,led3,rst,en,load);input clk1HZ, uclk;output [3:0]led0,led1,led2,led3;output load,rst,en;wire in_load,in_rst,in_en,c0,c1,c2;wire [3:0]dout0,dout1,dout2,dout3;assign load=in_load;assign rst=in_rst;assign en=in_en;CNTL u1(.CLK(clk1HZ),.CNL_EN(in_en),.RST_CNL(in_rst),.LOAD(in_load));CNT10 u2 (.CLK(uclk),.EN(in_en),.RST(in_rst),.COUT(c0),.DOUT(dout0));CNT10 u3 (.CLK(c0),.EN(in_en),.RST(in_rst),.COUT(c1),.DOUT(dout1));CNT10 u4 (.CLK(c1),.EN(in_en),.RST(in_rst),.COUT(c2),.DOUT(dout2));CNT10 u5 (.CLK(c2),.EN(in_en),.RST(in_rst),.DOUT(dout3));RGB4 u6 (.DIN(dout0),.LOAD(in_load),.DOUT(led0));RGB4 u7 (.DIN(dout1),.LOAD(in_load),.DOUT(led1));RGB4 u8 (.DIN(dout2),.LOAD(in_load),.DOUT(led2));RGB4 u9 (.DIN(dout3),.LOAD(in_load),.DOUT(led3));endmodulemodule CNT10(CLK,RST,EN,COUT,DOUT); //4位计数器input CLK,RST,EN;output COUT;output[3:0] DOUT;reg[3:0] Q; reg COUT;always @ (posedge CLK or posedge RST)beginif(RST) Q=0;else if(EN) beginif(Q<9) Q=Q+1;else Q=0;endendalways @ (Q)if(Q==4'b1001) COUT=1;else COUT=0;assign DOUT=Q;endmodulemodule RGB4(DIN,LOAD,DOUT); //数据缓存器input LOAD;input[3:0] DIN;output[3:0] DOUT;reg[3:0] DOUT;always @ (posedge LOAD)DOUT=DIN;endmodulemodule CNTL(CLK,CNL_EN,RST_CNL,LOAD);//控制部分input CLK;output CNL_EN,RST_CNL,LOAD;reg CLKDIV,CNL_EN,LOAD,RST_CNL;always @ (posedge CLK)CLKDIV=~CLKDIV;always @ (posedge CLK) beginCNL_EN=CLKDIV;LOAD=~CLKDIV;endalways @ (CLK) beginif(CLK==1'b0&&CNL_EN==1'b0)RST_CNL=1;elseRST_CNL=0; endendmoduleRTL视图仿真结果功能分析:在波形中,CLK1HZ的频率为1HZ,以CLK1HZ为输入,产生en,rst,load的输出波形。
用74LS162和74LS163计数器设计60进制计数器
姓名: 桑贤超班级: 文自112-2班学号: 201190519234
试验:60进制计数器的设计日期:2012.11.17 指导老师: 徐洪霞
一、实验报告的名称: 24进制计数器的设计
二、本次实验的目的:
1.掌握74LS162、74LS163 计数器的综合运用用法
2. 利用74LS162、74LS163计数器设计60进制计数器
三、实验设备:
Maplus2x软件、试验箱
四、画出实验原理图,标明引脚连线,画出防真波形图,注明引脚.
74LS162 计数器是十进制计数方式的计数器,74LS163采
用四位二进制计数方式,且其同步清零方式。
所以设计60
进制计数器,可先用163设计一个六进制,然后再和
74LS162进行与,即可得到60进制计数器。
五、实验总结,主要包括实验中所犯错误,怎样改正等
1.在文件名必须与VHDL文件中的设计实体名保持一致。
2.低位的清零输出端(CO端)要连接高位的始终脉冲(clk 端)。
3.低位端和高位端的输出端统一接地或输入置零。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
认识常见计数器
读一读:
集成计数器的分类
集成计数器的分类如下。
(1) 按数的进制分类
二进制计数器是指按二进制数的运算规律进行计数的电路。
例如74LS161为集成4位二进制同步加法计数器,其计数长度为16。
十进制计数器是指按十进制数的运算规律进行计数的电路。
例如CC4518为集成十进制同步加法计数器,其计数长度为10。
任意进制计数器是指二进制计数器和十进制计数器以外其它进制计数器统称为任意进制计数器。
如十二进制计数器和六十进 制计数器等。
(2) 按计数时触发器的状态是递增还是递减分类
加法计数器、减法计数器和可逆计数器。
图5-15、5-16分别为十进制加法、减法计数器的状态转换图。
Q D Q C Q B Q A 0000 0110 0001 0111 0010 0011 0101 0100
1000 1001
图5-15 十进制加法计数器状态转换图
Q D Q C Q B Q A 1001 0011 1000 0010 0111 0110 0100 0101
0001 0000
图5-16 十进制减法计数器状态转换图
(3) 按计数器中触发器的翻转是否同步分类
同步计数器和异步计数器。
(4) 按计数器中使用的开关元件类型分类
TTL 计数器和CMOS 计数器。
TTL 计数器中电路元件均为晶体 管,而CMOS 计数器中电路元件均为场效应管。
读一读:
计数器是一种能累计脉冲数目的数字电路,在计时器、交通信号灯装置、工业生产流水线等中有着广泛的应用。
计数器电路是一种由门电路和触发器构成的时序逻辑电路,它是对门电路和触发器知识的综合运用。
计数器是用以统计输入时钟脉冲CP个数的电路。
计数器不仅可以用来计数,也可以用来作脉冲信号的分频、程序控制、逻辑控制等。
计数器的种类很多,按计数器中触发器的翻转情况,分为同步计数器和异步计数器两种。
按照计数值增减情况,可以分为加法计数器、减法计数器和可逆计数器。
计数器也有TTL和CMOS不同类型系列产品。
计数器累计输入脉冲的最大数目为计数器的模,用M表示,如十进制计数器又可称为模为10的计数器,记作M=10;
触发器有两个稳定状态,在时钟脉冲作用下,两个稳定状态可相互转换,所以可用来累计时钟脉冲的个数。
用触发器构成计数器的原理是触发器的状态随着计数脉冲的输入而变化,触发器状态变化的次数等于输入的计数脉冲数。
读一读:
四进制计数器
四进制计数器能累计4个时钟脉冲,有4个有效状态,因此用两个JK触发器就能构成四进制计数器。
如图5-8所示为用两个JK触发器构成的四进制同步加法计数器的逻辑图。
JK触发器构成四进制同步加法计数器逻辑图
图5-8中J0=K0=1时,根据JK触发器的逻辑功能可知,左边的触发器在CP上升沿作用下,具有翻转的功能;J1=K1=Q0,当Q0=0时,右边的触发器状态保持不变,当Q0=1时,右边的触发器状态在CP上升沿作用下,具有翻转的功能。
于是得到图5-9所示电路的状态转换真值表5-3。
根据状态转换真值表5-3画出状态转换图5-9,由图5-9可知该电路实现了四进制加法计数器的逻辑功能。
电路的状态转换真值表
Q1Q0
0 0 0 1 1 0 1 1
图5-9 四进制同步加法计数器状态转换图。