福师《EDA技术》在线作业一15秋100分答案
福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案2
福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案(图片大小可自由调整)第1卷一.综合考核(共15题)1.SPLD器件分为几类()。
A.PROMB.PLAC.PALD.GAL2.ASIC电路特点描述正确的是()。
A.周期长B.投入高C.功耗低D.省面积3.IP核中的软核与生产工艺无关,不涉及物理实现,为后续设计留有很大空间。
()A.正确B.错误4.CAE是Computer Aided Engineering,计算机辅助工程的缩写。
()A.正确B.错误5.Verilog HDL和 VHDL目前还都不是IEEE标准。
()A.正确B.错误6.按照处理的HDL语言类型,仿真器可以分为()。
A.Verilog HDL仿真器B.VHDL HDL仿真器C.混合仿真器7.衡量仿真器性能的重要指标有哪些()。
A.仿真速度B.仿真的准确性C.仿真的易用性8.基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。
A.设计输入B.综合C.布局布线D.仿真和编程9.CPLD是Complex Programmable Logic Device,复杂可编程逻辑器件的缩写。
()A.正确B.错误10.Verilog HDL中整数型常量是不可以综合的。
()A.正确B.错误11.Verilog HDL不支持逻辑运算符。
()A.正确B.错误12.用PLD器件实现设计的优势有哪些()?A.周期短B.投入少C.风险小D.对于成熟的设计往往采用PLD13.仿真分为功能仿真和时序仿真。
()A.正确B.错误14.15.不考虑信号时延等因素的仿真称为功能仿真。
()A.正确B.错误第2卷一.综合考核(共15题)1.Verilog HDL和VHDL目前还都不是IEEE标准。
()A.正确B.错误2.Verilog语言的行为描述语句,如条件语句、赋值语句和循环语句类似于软件高级语言,便于学习和使用。
()A.正确B.错误3.TOP-down设计一般分为哪几个层次()。
福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案4
福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案(图片大小可自由调整)第1卷一.综合考核(共15题)1.下面哪些是专业提供PLD器件厂商()。
A.XilinxB.AlteratticeD.Micsoftware2.基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。
A.设计输入B.综合C.布局布线D.仿真和编程3.Verilog HDL中整数型常量是不可以综合的。
()A.正确B.错误4.数字设计流程中的设计输入的表达方式一般有原理图方式和HDL文本方式两种。
()A.正确B.错误5.有限状态机的复位分为两种:同步复位和异步复位。
()A.正确B.错误6.ISP和专用的编程器是FPGA常用的两种编程方式。
()A.正确B.错误7.Verilog HDL和VHDL目前还都不是IEEE标准。
() A.正确B.错误8.常用的集成FPGA/CPLD开发工具有哪些()。
A.MAX+plus IIB.Quartus IIC.ISED.ispLEVER9.采用原理图方式的数字设计的可重用性、可移植要差一些。
()A.正确B.错误10.不考虑信号时延等因素的仿真称为功能仿真。
()A.正确B.错误11.SPLD器件分为几类()。
A.PROMB.PLAC.PALD.GAL12.Verilog HDL支持赋值语句。
()A.正确B.错误13.PLD是Programmable Logic Device,可编程逻辑器件的缩写。
()A.正确B.错误14.用PLD器件实现设计的优势有哪些()?A.周期短B.投入少C.风险小D.对于成熟的设计往往采用PLD15.Verilog语言即适合可综合的电路设计,也可胜任电路与系统的仿真。
()A.正确B.错误第2卷一.综合考核(共15题)1.综合指的是将较高级抽象层次的设计描述自动转化为较低层次描述的过程。
()A.正确B.错误2.Synplify是一种FPGA/CPLD的逻辑综合工具。
电子科技大学15春《EDA技术》在线作业1满分答案
15春《EDA技术》在线作业1单选题一、单选题(共20 道试题,共100 分。
)1. 关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,A(7 downto 5)=_____________。
A. ’010B. ‘001C. ‘011D. ’100-----------------选择:B2. Altera公司开发的开发软件为A. FoundationB. ispDesignEXPERTC. MaxplusⅡD. ISE-----------------选择:C3. 字符串型文字O“1234”的长度为___________。
A. 12B. 4C. 8D. 16-----------------选择:A4. 在VHDL中,结构体内部是由()语句组成的。
A. 顺序B. 并行C. 顺序和并行D. 任何-----------------选择:A5. 下面哪个标识符是符合VHDL语法的合法标识符A. constantB. 2fftC. _decoder_1D. sig_n-----------------选择:D6. 若a=1,b=2,下面程序执行后,a和b的值分别为。
architecture rtl of entityName is signal a, b: integer; begin process (a, b) variable c: integer; begin a <=b ; c := a ; b <= c ; end process; end rtl ;A. 1,2B. 2,1C. 1,1D. 2,2-----------------选择:B7. 下面哪个说法是错误的A. 进程语句与进程语句之间是并行执行的,进程语句内部是顺序执行的B. 进程语句是可以嵌套使用的C. 块语句与块语句之间是并行执行的,块语句内部也是并行执行的D. 块语句是可以嵌套使用的-----------------选择:B8. 下面哪个标识符是符合VHDL语法的合法标识符A. 4plusB. v-4C. the_signalD. buffer-----------------选择:C9. 关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,那么A(2 downto 0)=____________。
EDA技术习题集及答案
第一章 EDA概述一、填空题1.2000年推出的Pentium 4微处理器芯片的集成度达——万只晶体管。
2.一般把EDA技术的发展分为——、——和——三个阶段。
3.在EDA发展的——阶段,人们只能借助计算机对电路进行模拟、预测,以及辅助进行集成电路版图编辑、印刷电路板(PcB)布局布线等工作。
4.在EDA发展的——阶段,人们可以将计算机作为单点设计工具,并建立各种单元库,开始用计算机将许多单点工具集成在一起使用。
5.EDA设计流程包括——、——、——和——四个步骤。
6.EDA的设计验证包括——、——和——三个过程。
7.EDA的设计输入主要包括——、——和———。
8.文本输入是指采用——进行电路设计的方式。
9.功能仿真是在设计输入完成之后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为——。
10.时序仿真是在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为——或——。
11.当前最流行的并成为1EEE标准的硬件描述语言包括————和——。
12.采用PLD进行的数字系统设计,是基于芯片的设计或称之为——的设计。
13.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为——的设计法。
14.EDA工具大致可以分为——、——、———、———和——等五个模块。
15.将硬件描述语吉转化为硬件电路的重要工具软件称为——————。
二、单项选择题1.将设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程称为( )。
①设计输入②设计输出③仿真④综合2.一般把EDA技术的发展分为( )几个阶段。
①2 ②3 ③4 ④53.AHDL属于( )描述语言。
①普通硬件②行为③高级④低级4.vHDL属于( )描述语言。
①普通硬件②行为③高级④低级5.包括设计编译和检查、逻辑优化和综合、适配和分割、布局和布线,生成编程数据文件等操作的过程称为( )。
19春福师《EDA技术》在线作业一
(多选题)1: 按照处理的HDL语言类型,仿真器可以分为()。
A: Verilog HDL仿真器B: VHDL HDL仿真器C: 混合仿真器标准解答:(多选题)2: 用PLD器件实现设计的优势有哪些()?A: 周期短B: 投入少C: 风险小D: 对于成熟的设计往往采用PLD标准解答:(多选题)3: 目前常用的硬件描述语言为:()。
A: VerilogB: VHDLC: 和 VCD: VB标准解答:(多选题)4: 常用的综合工具有哪些()。
A: FPGA ExpressB: FPGA compilerC: Synplify Pro标准解答:(多选题)5: 目前的EDA技术主要特点有哪些()。
A: 使用普及B: 应用广泛C: 工具多样D: 软件功能强大标准解答:(多选题)6: ASIC电路特点描述正确的是()。
A: 周期长B: 投入高C: 功耗低D: 省面积标准解答:(多选题)7: 下面哪些是专业提供PLD器件厂商()。
A: XilinxB: AlteraC: LatticeD: Micsoftware标准解答:(多选题)8: 常用的集成FPGA/CPLD开发工具有哪些()。
A: MAX+plus IIB: Quartus IIC: ISED: ispLEVER标准解答:(多选题)9: TOP-down设计一般分为哪几个层次()。
A: 系统级B: 功能级C: 门级D: 开关级标准解答:(多选题)10: 状态机常用的编码方式有()。
A: 顺序编码B: 格雷编码C: 约翰逊编码D: 一位热码标准解答:(判断题)11: 数字设计流程中的设计输入的表达方式一般有原理图方式和HDL文本方式两种。
A: 错误B: 正确标准解答:(判断题)12: IP核中的软核与生产工艺无关,不涉及物理实现,为后续设计留有很大空间。
A: 错误B: 正确标准解答:(判断题)13: 有限状态机可以认为是组合逻辑和寄存器逻辑的特殊组合。
《EDA技术》试题及答案
一、简答题:(30分,每小题5分)1.CPLD和FPGA有什么差异?在实际应用中各有什么特点?答:差异:(1)CPLD:复杂可编程逻辑器件,FPGA:现场可变成门阵列;(2)CPLD:基于乘积项技术的确定型结构,FPGA:基于查找表技术的统计型结构;(3)CPLD:5500 ~ 50000门,FPGA:1K ~ 10M 门。
实际应用中各自的特点:CPLD适用于逻辑密集型中小规模电路,编程数据不丢失,延迟固定,时序稳定;FPGA 适用于数据密集型大规模电路,需用专用的ROM 进行数据配置,布线灵活,但时序特性不稳定2.简述VHDL语言中端口模式IN,OUT,BUFFER和INOUT 各自的特点及OUT,BUFFER与INOUT的主要区别?答:端口模式中各自的含义与特点为:IN:输入,只读;OUT:输出,只写;BUFFER:带反馈的输出,可读可写;INOUT:双向,可读可写。
OUT,BUFFER,INOUT各自的区别:OUT模式下的信号,在程序中只能作为对象被赋值,不能作为源赋给其他信号;BUFFER模式下的信号,在程序中既可作为对象被赋值,又可作为源赋给其他信号,对象和源是同时发生,是同一个信号;INOUT模式下的信号,双向传输,同样既做对象又可作源,但对象和源不是同一个信号。
3.VHDL中有哪3种数据对象?详细说明它们的功能特点以及使用场所。
3种数据对象为:常量、信号、变量。
各自的功能特点和使用场所:常量:代表电路中一个确定的数,如电源、地等。
全局量,信号变量使用的地方都可用信号:代表电路中的某一条硬件连接线,包括输入、输出端口,信号赋值存在延迟。
全局量,使用场所:architecture、package、entitiy。
变量:代表电路中暂存某些值的载体。
变量赋值不存在延迟。
局部量,使用场所:process、function、procedure。
4.数字频率计功能是测量被测信号的频率,测量频率的基本原理是什么?实现的主要逻辑模块有那些?答:频率计测量频率的基本原理是:1秒时间内代测信号的脉冲个数。
福师《EDA技术》在线作业一15秋100分答案
福师《EDA技术》在线作业一15秋100分答案一、多选题(共 10 道试题,共 20 分。
)1. 下面哪些是专业提供第三方EDA软件工具的公司()。
A. CadenceB. MentorC. SynopsysD. Synplicity正确答案:ABCD2. 常用的综合工具有哪些()。
A. FPGA Express信息技术作业答案B. FPGA compilerC. Synplify Pro正确答案:ABC3. 目前的EDA技术主要特点有哪些()。
A. 使用普及B. 应用广泛C. 工具多样D. 软件功能强大正确答案:ABCD4. 常用的集成FPGA/CPLD开发工具有哪些()。
A. MAX+plus IIB. Quartus IIC. ISED. ispLEVER正确答案:ABCD5. TOP-down设计一般分为哪几个层次()。
A. 系统级B. 功能级C. 门级D. 开关级正确答案:ABCD6. 综合有哪几种形式()。
A. RTLB. 逻辑综合C. 将逻辑门表示转换到版图表示正确答案:ABC7. 下面哪些是专业提供PLD器件厂商()。
A. XilinxB. AlteraC. LatticeD. Micsoftware正确答案:ABC8. EDA技术发展阶段描述正确的是()。
A. CAD阶段B. CAE阶段C. EDA阶段D. 以上都不对正确答案:ABC9. IP核一般分为哪几种()。
A. 硬核B. 固核C. 软核D. 以上全不对正确答案:ABC10. 目前常用的硬件描述语言为:()。
A. VerilogB. VHDLC. 和 VCD. VB正确答案:AB福师《EDA技术》在线作业一二、判断题(共 40 道试题,共 80 分。
)1. Verilog HDL和 VHDL目前还都不是IEEE标准。
A. 错误B. 正确正确答案:A2. Verilog HDL语法要素与软件编程语言(如C语言)是完全相同的。
福建师范大学19年8月课程考试EDA技术作业考核试题[答案]
《EDA技术》教学中心专业学号姓名成绩第一题:填空题(每空2分,共30分)1.用EDA计与实现。
2.目前国际上较大的PLD器件制造公司有 LATTICE莱迪思公司和 XILINX西林公司。
3.完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路。
4.阻塞性赋值符号为 = ,非阻塞性赋值符号为5.可编程器件分为固定逻辑器件和可编程逻辑器件。
6.随着EDA应用于Verilog HDL设计当中。
7.一般把EDA EDA 三个阶段。
8.当前最流行的并成为IEEE标准的硬件描述语言包括 VHDL语言和Verilog HDL语言。
第二题:简答题(每题5分,共20分)1.现代EDA技术的特点有哪些?现代信息技术的最大特点是扩展和延伸了人的信息功能,人类信息的交流和传播在时间和空间上大大缩短。
2.FPGA与ASIC在概念上有什么区别?FPGA:即现场可编程门阵列,亮点在于它的可编程性,这个给设计实现带来了很大的方便。
也为降低设计成本提供了可行方案,但是速度较之相同工艺的asic要慢。
ASIC:即专用集成电路,亮点在于专用,量身定制所以执行速度较快,比同等工艺的FPGA 来说即比FPGA快,而且可以节省在FPGA中的一些没有使用的逻辑实现,大规模生产的话成本也会比FPGA低,3.结合自己的使用情况谈谈对EDA工具的认识。
EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:multiSIM7(原EWB 的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim等等。
这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。
①SPICE(Simulation Program with Integrated Circuit Emphasis):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。
14春福师《EDA技术》在线作业一答案
14春福师《EDA技术》在线作业一答案
多选题判断题
一、多选题(共10 道试题,共20 分。
)
1. 状态机常用的编码方式有()。
A. 顺序编码
B. 格雷编码
C. 约翰逊编码
D. 一位热码
-----------------选择:ABCD
2. SPLD器件分为几类()。
A. PROM
B. PLA
C. PAL
D. GAL
-----------------选择:ABCD
3. 基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。
A. 设计输入
B. 综合
C. 布局布线
D. 仿真和编程
-----------------选择:ABCD
4. 常用的综合工具有哪些()。
A. FPGA Express
B. FPGA compiler
C. Synplify Pro
-----------------选择:ABC
5. 用PLD器件实现设计的优势有哪些()?
A. 周期短
B. 投入少
C. 风险小
D. 对于成熟的设计往往采用PLD
-----------------选择:ABC
6. 目前常用的硬件描述语言为:()。
A. Verilog
B. VHDL
C. 和VC
D. VB
-----------------选择:AB
7. IP核一般分为哪几种()。
A. 硬核
B. 固核
C. 软核。
福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案卷1
长风破浪会有时,直挂云帆济沧海。
住在富人区的她全文为Word 可编辑,若为PDF 皆为盗版,请谨慎购买! 福建师范大学智慧树知到“电子信息工程”《EDA 技术》网课测试题答案(图片大小可自由调整) 第1卷 一.综合考核(共10题) 1.SOC 是System On Chip ,芯片系统的缩写。
() A.正确 B.错误2.状态机常用的编码方式有()。
A.顺序编码B.格雷编码C.约翰逊编码D.一位热码3.Verilog 语言的行为描述语句,如条件语句、赋值语句和循环语句类似于软件高级语言,便于学习和使用。
() A.正确B.错误4.Verilog HDL 支持赋值语句。
() A.正确B.错误5.仿真器按对设计语言的不同处理方式分为两类:编译型仿真器和解释型仿真器。
() A.正确B.错误6.ASIC 电路特点描述正确的是()。
A.周期长B.投入高C.功耗低D.省面积 7.CAD 是Computer Aided Design ,计算机辅助设计的缩写。
()A.正确B.错误8.时序仿真也叫后仿真。
()A.正确B.错误9.Synplify 是一种FPGA/CPLD 的逻辑综合工具。
()A.正确B.错误10.采用原理图方式的数字设计的可重用性、可移植要差一些。
()A.正确B.错误第1卷参考答案 一.综合考核 1.参考答案:A2.参考答案:ABCD3.参考答案:A4.参考答案:A5.参考答案:A6.参考答案:ABCD7.参考答案:A8.参考答案:A9.参考答案:A10.参考答案:A。
16春季福师《EDA技术》在线作业一
福师《技术》在线作业一一、多选题(共 10 道试题,共 20 分。
)1. 目前常用的硬件描述语言为:()。
. Vrilog. VHL. 和 V. V正确答案:2. 常用的综合工具有哪些()。
. FPG xprss. FPG ompilr. Synplify Pro正确答案:3. SPL器件分为几类()。
. PROM. PL. PL. GL正确答案:4. 布局布线完成后会产生哪些文件()。
. 芯片资源耗用的报告. IF. 延时网表. 器件编程文件正确答案:5. SI电路特点描述正确的是()。
. 周期长. 投入高. 功耗低. 省面积正确答案:6. 按照处理的HL语言类型,仿真器可以分为()。
. Vrilog HL仿真器. VHL HL仿真器. 混合仿真器正确答案:7. 技术发展阶段描述正确的是()。
. 阶段. 阶段. 阶段. 以上都不对正确答案:8. 下面哪些是专业提供PL器件厂商()。
. Xilinx. ltr. Ltti. Misoftwr正确答案:9. 下面哪些是专业提供第三方软件工具的公司()。
. n. Mntor. Synopsys. Synpliity正确答案:10. 用PL器件实现设计的优势有哪些()?. 周期短. 投入少. 风险小. 对于成熟的设计往往采用PL正确答案:福师《技术》在线作业一二、判断题(共 40 道试题,共 80 分。
)1. 仿真也称模拟,是对所设计电路的功能的验证。
. 错误. 正确正确答案:2. 浮栅编程元件一般用在民用、消费类产品中。
. 错误. 正确正确答案:3. 编译型仿真器的仿真速度快,但需要预处理,不能即时修改。
. 错误. 正确正确答案:4. 状态机可以分为:米里型和摩尔型两类。
. 错误. 正确正确答案:5. 有限状态机的复位分为两种:同步复位和异步复位。
. 错误. 正确正确答案:6. 是ltroni sign utomtion,电子设计自动化的缩写。
. 错误. 正确正确答案:7. Vrilog HL不支持逻辑运算符。
福建师范大学2021年8月《EDA技术》作业考核试题及答案参考2
福建师范大学2021年8月《EDA技术》作业考核试题及答案(参考)1. Excel工作簿只能有1至255个工作表。
( )此题为判断题(对,错)。
参考答案:正确2. 在多文件结构的程序中,通常把含有 main( )函数的文件称为( )。
A. 主文件B. 实现文件C. 程序文件D. 头文件参考答案:A3. 以下外设中,既可作为输入设备又可作为输出设备的是( )A.绘图仪B.键盘C.磁盘驱动器D.激光打印机参考答案:C4. 电容器可分为固定电容、可变电容和电解电容。
其中电解电容有正负极之分。
( )电容器可分为固定电容、可变电容和电解电容。
其中电解电容有正负极之分。
( )正确5. 正弦相量与复数有否区别?正弦相量与复数有否区别?正弦相量是时间t的函数;复数是一个数,不是时间t的函数;复数与正弦相量是两个完全不同的数学概念。
为表示正弦相量与复数的区别,在正弦相量上加“·”,以示与复数和有效值的区别。
正弦相量虽然是时间t的函数,但仅表示了正弦量三要素中的二个要素,未表达出其角频率要素。
用相量或复数表示正弦量,仅是表示而已。
主要是借助其运算方法,便于解决正弦量之间的加减乘除问题。
6. 信息资源备份应按下列项目进行:( )。
A.全盘备份B.增量备份C.关键项目备份D.后备媒体信息资源备份应按下列项目进行:( )。
A.全盘备份B.增量备份答案:ABCD7. 下列8位二进制数的补码,最大的是( )A.10001000B.11111111C.00000000D.00000001 下列8位二进制数的补码,最大的是( )A.10001000B.11111111C.00000000D.00000001正确答案:D8. CAE是Computer Aided Engineering,计算机辅助工程的缩写。
( )A.正确B.错误参考答案:A9. 数据链路层的数据传输单元( )A、数据帧B、比特流C、分组D、报文参考答案A10. SPLD器件分为几类( )。
福师18年2月课程考试《EDA技术》作业考核答案
9.语句out=sel?inl:in0;表示的意义是:输出选择in1或in0。
10.语句{3{a,b}}表示的意义是:ab点的坐标。
第二题:简答题(每题5分,共20分)
1.什么是IP复用技术?IP核对EDA技术的应用和发展有什么意义?
福建师范大学网络与继续教育学院
《EDA技术》(开卷)
姓名:
专业:
学号:
学习中心:
第一题:填空题(每题3分,共30分)
1.EDA技术的发展分为CAD、CAE和___EDA_____三个阶段。
2.EDA的设计输入主要包括文本输入、图形输入、波形输入。
3.当前最流行的并成为IEEE标准的硬件描述语言包括:__VHDL__和Verilog HDL。
2.逻辑综合,将用一定的逻辑表达手段表达出来的设计经过一系列的操作,分解成一系列的逻辑电路及对应关系(电路分解)。
3.目标器件的适配,在选用的目标器件中建立这些基本逻辑电路的对应关系(逻辑实现)。
4.目标器件的编程/下载,将前面的软件设计经过编程变成具体的设计系统(物理实现)。
5.仿真/硬件测试,验证所设计的系统是否符合要求。同时,再设计过程中要进行有关“仿真”,即模拟有关设计结果,验证是否与设计构想相符。
input load,clk,reset;
input[7:0] data;
output[7:0] out;
reg[7:0] out;
always @ (posedge clk)//clk上升沿触发
4.有三种端口类型,分别是物理端口、逻辑端口和自定义端口。
5.输入和双向端口不能声明为寄存器型。
福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案1
福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案(图片大小可自由调整)第1卷一.综合考核(共15题)1.Verilog HDL支持循环语句。
()A.正确B.错误2.Verilog HDL不支持条件语句。
()A.正确B.错误3.基于EDA技术的设计中,通常有两种设计思路()。
A.自顶向下B.自底向上C.自前向后D.自后向前4.PLD器件的设计往往采用层次化的设计方法,分模块,分层次地进行设计描述。
()A.正确B.错误5.ISP和专用的编程器是FPGA常用的两种编程方式。
()A.正确B.错误6.Verilog HDL中assign为持续赋值语句。
()A.正确B.错误7.目前在数字系统的设计中,主要采用Bottom-UP设计为主。
()A.正确B.错误8.常用的综合工具有哪些()。
A.FPGA ExpressB.FPGA compilerC.Synplify Pro9.目前常用的硬件描述语言为:()。
A.VerilogB.VHDLC.VCD.VB10.Verilog HDL中的变量一般分为两种数据类型:net型和variable型。
()A.正确B.错误11.Verilog HDL和VHDL目前还都不是IEEE标准。
()A.正确B.错误12.EDA技术发展阶段描述正确的是()。
A.CAD阶段B.CAE阶段C.EDA阶段D.以上都不对13.基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。
A.设计输入B.综合C.布局布线D.仿真和编程14.有限状态机的复位分为两种:同步复位和异步复位。
()A.正确B.错误15.CAE是Computer Aided Engineering,计算机辅助工程的缩写。
()A.正确B.错误第2卷一.综合考核(共15题)1.JTAG是Joint Test Action Group,联合测试行动组的缩写。
()A.正确B.错误2.PROM(Programmable Read Only Memory),可编程只读存储器的缩写。
福师《EDA技术》在线作业一-0005149E
福师《EDA技术》在线作业一-0005
按照处理的HDL语言类型,仿真器可以分为()。
A:Verilog HDL仿真器
B:VHDL HDL仿真器
C:混合仿真器
答案:A,B,C
用PLD器件实现设计的优势有哪些()?
A:周期短
B:投入少
C:风险小
D:对于成熟的设计往往采用PLD
答案:A,B,C
目前常用的硬件描述语言为:()。
A:Verilog
B:VHDL
C:和 VC
D:VB
答案:A,B
常用的综合工具有哪些()。
A:FPGA Express
B:FPGA compiler
C:Synplify Pro
答案:A,B,C
目前的EDA技术主要特点有哪些()。
A:使用普及
B:应用广泛
C:工具多样
D:软件功能强大
答案:A,B,C,D
ASIC电路特点描述正确的是()。
A:周期长
B:投入高
C:功耗低
D:省面积
答案:A,B,C,D
下面哪些是专业提供PLD器件厂商()。
A:Xilinx
B:Altera
C:Lattice
D:Micsoftware
答案:A,B,C
常用的集成FPGA/CPLD开发工具有哪些()。
A:MAX+plus II
B:Quartus II
C:ISE
D:ispLEVER
答案:A,B,C,D。
2019秋福师《EDA技术》在线作业一 参考答案
下载前先核对是否是你需要的一套题目,如不是,可能随机出题,下载然后复制
题目在该题库里面查找辅导答案。
福师《EDA技术》在线作业一
一、多选题(共 10 道试题,共 20 分。
)
1. 目前常用的硬件描述语言为:()。
A. Verilog
B. VHDL
C. 和 VC
D. VB
参考标准答案:AB
2. 常用的综合工具有哪些()。
A. FPGA Express
B. FPGA compiler
C. Synplify Pro
参考标准答案:ABC
3. SPLD器件分为几类()。
A. PROM
B. PLA
C. PAL
D. GAL
参考标准答案:ABCD
4. 布局布线完成后会产生哪些文件()。
A. 芯片资源耗用的报告
B. EDIF
C. 延时网表
D. 器件编程文件
参考标准答案:ABCD
5. ASIC电路特点描述正确的是()。
A. 周期长
B. 投入高
C. 功耗低
D. 省面积
参考标准答案:ABCD
6. 按照处理的HDL语言类型,仿真器可以分为()。
A. Verilog HDL仿真器
B. VHDL HDL仿真器。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
福师《EDA技术》在线作业一15秋100分答案
一、多选题(共 10 道试题,共 20 分。
)
1. 下面哪些是专业提供第三方EDA软件工具的公司()。
A. Cadence
B. Mentor
C. Synopsys
D. Synplicity
正确答案:ABCD
2. 常用的综合工具有哪些()。
A. FPGA Express
信息技术作业答案
B. FPGA compiler
C. Synplify Pro
正确答案:ABC
3. 目前的EDA技术主要特点有哪些()。
A. 使用普及
B. 应用广泛
C. 工具多样
D. 软件功能强大
正确答案:ABCD
4. 常用的集成FPGA/CPLD开发工具有哪些()。
A. MAX+plus II
B. Quartus II
C. ISE
D. ispLEVER
正确答案:ABCD
5. TOP-down设计一般分为哪几个层次()。
A. 系统级
B. 功能级
C. 门级
D. 开关级
正确答案:ABCD
6. 综合有哪几种形式()。
A. RTL
B. 逻辑综合
C. 将逻辑门表示转换到版图表示
正确答案:ABC
7. 下面哪些是专业提供PLD器件厂商()。
A. Xilinx
B. Altera
C. Lattice
D. Micsoftware
正确答案:ABC
8. EDA技术发展阶段描述正确的是()。
A. CAD阶段
B. CAE阶段
C. EDA阶段
D. 以上都不对
正确答案:ABC
9. IP核一般分为哪几种()。
A. 硬核
B. 固核
C. 软核
D. 以上全不对
正确答案:ABC
10. 目前常用的硬件描述语言为:()。
A. Verilog
B. VHDL
C. 和 VC
D. VB
正确答案:AB
福师《EDA技术》在线作业一
二、判断题(共 40 道试题,共 80 分。
)
1. Verilog HDL和 VHDL目前还都不是IEEE标准。
A. 错误
B. 正确
正确答案:A
2. Verilog HDL语法要素与软件编程语言(如C语言)是完全相同的。
A. 错误
B. 正确
正确答案:A
3. IP核中的硬核可靠性高,能确保性能,能够很快投入使用。
A. 错误
B. 正确
正确答案:B
4. 仿真也称模拟,是对所设计电路的功能的验证。
A. 错误
B. 正确
正确答案:B
5. SRAM是指静态存储器。
A. 错误
B. 正确
6. Verilog HDL中assign为持续赋值语句。
A. 错误
B. 正确
正确答案:B
7. 数字设计流程中的设计输入的表达方式一般有原理图方式核HDL文本方式两种。
B. 正确
正确答案:B
8. SOC是System On Chip,芯片系统的缩写。
A. 错误
B. 正确
正确答案:B
9. GAL是Generic Array Logic,通用阵列逻辑的缩写。
A. 错误
B. 正确
正确答案:B
10. HDL是Hardware Description Language,硬件描述语言的缩写。
A. 错误
B. 正确
正确答案:B
11. CPLD和FPGA都属于高密度可编程逻辑器件。
A. 错误
B. 正确
正确答案:B
12. 用状态机进行设计具有速度快、结构简单、可靠性高等优点。
A. 错误
B. 正确
正确答案:B
13. CPLD是Complex Programmable Logic Device,复杂可编程逻辑器件的缩写。
A. 错误
B. 正确
正确答案:B
14. 对设计而言,采用的描述级别越高,设计越容易。
A. 错误
B. 正确
正确答案:B
15. PLD器件的设计往往采用层次化的设计方法,分模块,分层次地进行设计描述。
A. 错误
B. 正确
正确答案:B
16. Alter的FPGA器件主要由两类配置方式:主动配置方式和被动配置方式。
A. 错误
B. 正确
17. 目前常用的硬件描述语言为:Verilog HDL和 VHDL。
A. 错误
B. 正确
正确答案:B
18. 有限状态机的复位分为两种:同步复位和异步复位。
A. 错误
正确答案:B
19. Verilog HDL支持赋值语句。
A. 错误
B. 正确
正确答案:B
20. 绝大多数的FPGA器件都基于SRAM查找表结构实现。
A. 错误
B. 正确
正确答案:B
21. SOC是指把一个完整的系统集成在一个芯片上。
A. 错误
B. 正确
正确答案:B
22. Verilog HDL支持条件运算符。
A. 错误
B. 正确
正确答案:B
23. 在EDA设计中一般采用硬件描述语言(HDL)进行电路与系统的描述。
A. 错误
B. 正确
正确答案:B
24. HDL是一种用文本形式来描述和设计电路的语言。
A. 错误
B. 正确
正确答案:B
25. 解释型仿真器速度慢一些,但可以随时修改仿真环境和仿真条件。
A. 错误
B. 正确
正确答案:B
26. PLA是Programmable Logic Array,可编程逻辑阵列的缩写。
A. 错误
B. 正确
正确答案:B
27. ASIC是专用集成电路的缩写。
A. 错误
B. 正确
28. PLD按照可编程的次数分为两类:一次性编程器件和可多次编程器件。
A. 错误
B. 正确
正确答案:B
29. Quartus II是Xilinx的FPGA/CPLD的集成开发工具。
A. 错误
B. 正确
正确答案:A
30. PLD器件内部主要由各种逻辑功能部件和可编程开关构成。
A. 错误
B. 正确
正确答案:B
31. 混合仿真器就是能同时支持Verilog和VHDL的仿真器。
A. 错误
B. 正确
正确答案:B
32. 时序仿真也叫后仿真。
A. 错误
B. 正确
正确答案:B
33. 如果只需要在上电和系统错误时进行复位操作,采用异步复位方式比同步复位方式好。
A. 错误
B. 正确
正确答案:B
34. 状态机可以分为:米里型和摩尔型两类。
A. 错误
B. 正确
正确答案:B
35. JTAG是Joint Test Action Group, 联合测试行动组的缩写。
A. 错误
B. 正确
正确答案:B
36. 反熔丝型开关元件一般用在对可靠性要求较高的军事和航天产品器件上。
A. 错误
B. 正确
正确答案:B
37. Verilog HDL不支持逻辑运算符。
A. 错误
B. 正确
正确答案:A
38. 浮栅编程元件一般用在民用、消费类产品中。
A. 错误
B. 正确
39. 不考虑信号时延等因素的仿真称为功能仿真。
A. 错误
B. 正确
正确答案:B
40. Verilog语言即适合可综合的电路设计,也可胜任电路与系统的仿真。
A. 错误
B. 正确
正确答案:B。