数字密码锁设计

合集下载

4位数字密码锁的设计

4位数字密码锁的设计

4位数字密码锁的设计
4位数字密码锁是一种比较常见的安全锁,可以应用于日常生活,如保险箱、抽屉等
物品,用以保护一些隐私物品,也有可以用在某些重要管理场所中。

由于比较简单,通常
被广泛使用。

1、首先,4位数字密码锁的外壳表面一般是由硬质金属或硬质塑料制成,采用了单重质量设计,保证更加坚固可靠,用于避免被他人拆卸改装和刮伤等情况。

2、其次,4位数字密码锁设有锁体按钮,按钮可以设置为永久锁死,也可以设置一次锁死。

只有输入正确的4位数字密码才可以解锁,这样就可以避免不安全因素,提高安全性。

3、此外,锁体中装有一个电路板,用于防止锁被轻易开锁,增加锁的安全性。

例如,当用户输入了三次错误的密码之后,电路板就会被自动锁死,直到指定的时间才能解锁。

4、最后,4位数字密码锁一般具有双向加锁功能,可以锁住保险箱或抽屉内部的两侧,增强财产安全。

总体而言,4位数字密码锁设计的功能丰富,安全性高,不需要复杂的技术才可以快
速操作,所以受到了很多消费者的青睐。

4位数字密码锁毕业设计

4位数字密码锁毕业设计

4位数字密码锁毕业设计华中科技大学文华学院毕业设计(论文)题目:4位数字密码锁设计(密码设置及验证电路)学生姓名:学号:学部(系):信息科学与技术学部专业年级: 08通信工程指导教师:陈超原职称或学位:摘要:本设计利用FPGA作为核心控制板,用Verilog 硬件描述语言进行编程,利用计算机软硬件控制技术,设计一个基于FPGA的数字密码锁,能实现密码设定(如果密码没设定则默认密码为0000),密码输入及验证,当密码输入错误时报警或则指示灯亮;反之,密码输入正确时,另外一个指示灯亮。

将程序下载到Altera公司的Cyclone系列目标芯片EP2C5T144C8上调试通过,并观察实际现象,满足设计要求。

关键词:FPGA Verilog 数字密码锁AbstractThe design using the FPGA as the core of the control panel, Verilog hardware description language for programming, Computer hardware and software control technology, design an FPGA-based digital code lock, Set the password(if the password is not set then the default password is 000000), Password input and verification, Alarm or the light when the password input error; On the contrary, enter the correct password , a light. Program downloaded to the target chip EP2C5T144C8 Altera’s Cyclone series through debugging , and to observe the actual phenomenon , to meet the design requirements.Keywords: FPGA Verilog Digital code lock引言随着人们生活水平的提高,如何实现家庭防盗这一问题也变得尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐,电子密码锁的使用体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。

毕业设计(论文)数字密码锁的设计

毕业设计(论文)数字密码锁的设计

目录摘要 (I)Abstract ............................................................................................................................ I I 第一章绪论 .. (1)1.1 数字密码锁简介 (1)1.2 数字密码锁现状 (1)1.3 数字密码锁发展趋势 (1)1.4 设计研究实现功能 (1)1.5 设计方案的选择 (1)第二章硬件设计 (3)2.1 CPU主控模块 (3)2.2 按键模块 (5)2.3 液晶显示模块 (5)2.4 蜂鸣器模块 (6)2.5 继电器模块 (6)2.6 电源模块 (7)第三章程序设计 (8)3.1 程序的定义 (8)3.2 主函数的编写与读取密码 (8)3.3 程序员查看密码设计 (9)3.4 按键功能设置 (9)3.5 密码正误判断设计 (10)3.6 改密和重输设计 (12)3.7 定时器 (15)3.8 键盘的扫描 (17)3.9 EEPROM (19)3.10 显示器1602 (22)第四章仿真测试 (25)4.1 软件仿真测试 (25)4.2 硬件仿真测试 (27)第五章总结 (28)参考文献 (29)致谢 (30)附录A元件清单 (31)附录 B 原理图 (32)附录C PCB图 (33)附录C 仿真图 (34)附录D 实物图 (35)数字密码锁的设计摘要:在这样科技不断发展的时代,人们对隐私和利益的保护则显得格外迫切。

什么样的技术是在当今社会比较有代表性而又不失前景的呢?数字密码锁就是个不错的选择。

我所设计的数字密码锁不仅保密性极高,还提供报警功能,市场上也有许多和我设计原理相类似的密码锁,看看这种类型锁的市场效应就知道,如今几乎家喻户晓了,但是市场上的终归是市场上的,科技的发展却没有适应人们的需求,这是让身为科技创新人员觉得可耻的行为。

数字密码锁的设计毕业论文

数字密码锁的设计毕业论文

数字密码锁的设计毕业论文导言本毕业论文旨在设计一种安全可靠的数字密码锁,并研究其在实际应用中的可行性和有效性。

研究目标1. 设计一个简单但安全的数字密码锁系统;2. 研究密码保护机制,确保系统的安全性;3. 分析数字密码锁在不同环境下的实际应用可行性;4. 评估数字密码锁的性能指标和使用体验。

方法和步骤1. 研究市场上已有的数字密码锁和相关安全技术;2. 基于研究结果设计数字密码锁系统的硬件和软件结构;3. 开发密码保护机制,包括密码输入和验证等功能;4. 制作数字密码锁原型并进行功能测试;5. 进行实际场景测试,评估系统的可行性和有效性;6. 根据测试结果进行系统优化和改进。

预期结果通过对数字密码锁的设计和研究,预期实现以下目标:1. 设计出一种简单、易于使用和安全可靠的数字密码锁;2. 确保密码保护机制的有效性和难以破解性;3. 验证数字密码锁在实际应用场景中的可行性和有效性;4. 提出针对数字密码锁的性能优化和改进建议。

论文结构本论文将按照以下结构进行组织:1. 导言:介绍研究背景、目的和意义;2. 相关研究:综述市场上已有的数字密码锁和相关安全技术;3. 设计方案:详细描述数字密码锁系统的硬件和软件设计;4. 实验与测试:展示数字密码锁的功能测试和实际场景测试结果;5. 结果分析:对实验和测试结果进行分析和评估;6. 总结与展望:总结研究工作并展望未来的研究方向。

时间安排完成该文档的时间安排如下:1. 研究市场上已有的数字密码锁和相关安全技术:2周;2. 设计数字密码锁系统的硬件和软件结构:3周;3. 开发密码保护机制和制作数字密码锁原型:4周;4. 进行功能测试和实际场景测试:1周;5. 分析测试结果、优化系统并撰写论文:2周。

参考文献在论文中将引用相关的参考文献,以确保研究的可靠性和准确性。

以上为初步的论文计划和大纲,具体内容和结构将根据实际研究工作的进展进行调整和完善。

数字密码锁的控制电路实验报告

数字密码锁的控制电路实验报告

数字密码锁的控制电路实验报告摘要:本实验旨在设计和实现一个数字密码锁的控制电路。

通过使用数字集成电路和逻辑门电路,我们成功地实现了一个简单而有效的数字密码锁系统。

实验结果表明,该控制电路能够准确地识别输入的密码,并控制锁的开关状态。

本实验为数字密码锁的设计和应用提供了有益的参考。

引言:数字密码锁是一种常见的安全措施,广泛应用于各种场合,如家庭、办公室和酒店等。

它通过输入正确的数字密码来控制锁的开关状态。

本实验旨在设计和实现一个数字密码锁的控制电路,以便更好地理解数字密码锁的工作原理和应用。

材料与方法:1. 数字集成电路(例如74LS47、74LS74)2. 逻辑门电路(例如74LS08、74LS32)3. 七段数码管4. 按钮开关5. 电源和电线6. 面包板和连接线实验步骤:1. 将数字集成电路和逻辑门电路按照电路图连接在面包板上。

2. 将七段数码管和按钮开关连接到电路中相应的引脚上。

3. 将电源和电线连接到电路中,确保电路正常工作。

4. 设计一个四位数字密码,并将其编程到电路中。

5. 测试电路的功能,尝试输入正确的密码并观察锁的开关状态。

结果与讨论:经过实验,我们成功地设计和实现了一个数字密码锁的控制电路。

该电路能够准确地识别输入的密码,并根据密码的正确与否控制锁的开关状态。

当输入正确的密码时,锁会打开;当输入错误的密码时,锁会保持关闭状态。

通过实验,我们发现数字集成电路和逻辑门电路在数字密码锁的控制中起到了关键作用。

数字集成电路负责将输入的密码转换为七段数码管上的数字显示,而逻辑门电路则负责判断输入的密码是否正确,并控制锁的开关状态。

此外,我们还发现,设计一个安全可靠的数字密码锁需要考虑以下几个因素:1. 密码的复杂性:密码应该足够复杂,以防止被他人轻易猜测或破解。

2. 锁的安全性:锁的机械结构应该坚固可靠,以防止被非法开启。

3. 电路的稳定性:电路应该能够稳定地工作,并能够抵抗外界的干扰。

数字密码锁

数字密码锁

数字密码锁课程设计任务书一.设计任务与要求:1.设计一个数字密码锁,要求只有按正确的顺序输入正确的密码,方能输出开锁信号,实现开锁。

2.设置三个正确的密码键和若干个伪键,任何伪码键按下后,密码锁都无法打开。

3.每次只能接受四个按键信号,且第四个键只能是“确认”键,其他无效。

* 4.第一次密码输错后,可以输入第二次。

但若连续三次输入错码,密码锁将被锁住,必须系统操作员解除(复位)。

二.设计内容:1.电路原理图:元件参数:VCC直流电源:5V灯泡额定电压:2.5V3.实验仿真部分:用MULTISIM仿真时,先按照上图接好电路,电路接好后,按下电源开关,接好高低电平,开始实验仿真,在起初的仿真结果中出现了很多的问题,一开始打算把报警电路中的5号换成一个555报警器,但在仿真过程中,喇叭不响,不能体现出仿真结果,应此才用灯泡来做报警信号,当用户连续3次输入密码错误时,5号灯亮,每来一个脉冲信号,显示灯就亮一盏,当4号灯亮时,其它3盏显现灯不亮,说明输入密码正确,锁被打开。

数字密码锁内容提要:下述是我对本次课程设计的过程和收获。

讲述了数字频率计的工作原理以及其各个组成部分,记述了我在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。

关键词:TTL电路,报警显示电路,复位电路一.引言:数字密码锁是现代锁具,它具有更高的安全性和使用的灵活性。

它的基本功能是只用按正确的顺序输入正确的密码才能输入开锁信号,实现开锁。

随着人们生活水平的提高,如何实现家庭防盗这一问题也变得尤其突出,传统的机械锁因为它的结构的简单,很容易别撬开起不到防盗的效果;电子锁的保密性高,使用起来灵活性好,安全系数高,受到了广大用户的青睐。

二.总体设计方案:为了满足设计的要求:1.设计一个数字密码锁,要求只有按正确的顺序输入正确的密码,方能输出开锁信号,实现开锁。

四位数字密码锁的设计

四位数字密码锁的设计

EDA 课程设计报告书课题名称 四位数字密码锁的设计 姓 名学 号 院 系 专 业 指导教师年 月 日※※※※※※※※※ ※※※※ ※※※※※※※※※※※级学生EDA 课程设计设计任务及要求:(1)设计任务:本课程设计要求设计的数字密码锁密码为4位,由密码锁输入电路、码锁控制电路、密码锁处理电路、显示电路四大部分组成,将各电路组合起来,构成了一个完整的电子密码锁。

(2)设计要求:①、密码输入:每按一个数字键,就输入一个数值,且将在显示器上的最右上方显示出该数字,并将先前已经输入的数据依序左移一位。

②、数码清除:按下此键可以清除前面所有的输入值,清除成为“0000”。

③、密码修改:按下此键时将目前的数字设定成新的密码。

④、激活电锁:按下此键可将密码锁上锁,红色LED灯将闪烁一次。

⑤、解除电锁:按下此键会检查输入的密码是否正确,若绿色LED亮则表示密码正确,密码锁将解锁。

指导教师签名:年月日二、指导教师评语:指导教师签名:年月日三、成绩验收盖章年月日四位数字密码锁的设计1 设计目的随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的喜爱,电子密码锁的使用也体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。

2 设计的主要内容和要求设计一个简单的数字电子密码锁,密码为 4 位。

要求具备如下功能:(1)如果输入数字键,第一个数字会从数码管的最右端开始显示,此后每按下一个数字键,数码管上的数字必须往左移动一格,以便将新的数字显示出来。

(2)本密码锁为四位密码锁,当输入的数字超过四个时,不会显示第四个以后的数字。

(3)按下密码清零键,清除所有输入的数字,清除成为“0000”,即做归零动作。

(4)按下解锁键,检查输入的密码是否正确,若解锁指示灯(绿色LED灯)闪烁一次,即表示密码正确(开锁)。

数字密码锁设计

数字密码锁设计

数字密码锁设计数字密码锁设计一、设计任务与要求设计由编码器、集成逻辑门电路、声光报警指示电路构成的密码锁电路,研究门电路的接口与驱动技术、学习组合逻辑电路的设计方法;用Proteus 软件仿真;实验测试逻辑功能。

具体要求如下:(1)密码锁电路由密码输入电路、密码设置电路和密码控制电路组成,密码输入及密码设置均采用十进制数形式,密码输入通过键盘或按键输入。

密码设置通过开关输入。

(2)如果输入的密码与预先设定的密码相同,则保险箱被打开,密码控制电路的输出端E=1,F=0;否则电路发出声、光报警信号,即输出端E=0,F=1。

(3)实验时,“锁被打开”的状态可用绿色发光二极管指示;声、光报警可分别用红色发光二极管及蜂鸣器指示。

(4)写出设计步骤,画出最简的逻辑电路图。

(5)对设计的电路进行仿真、修改,使仿真结果达到设计要求。

(6)安装并测试电路的逻辑功能。

(7)拓展内容:如果考虑一个开锁用的钥匙插孔输入端G,当开锁时(G=1),密码输入才有效,试在上述电路基础上修改该电路。

二、课题分析及设计思路(1)密码输入电路及密码设置电路的设计思路由于密码输入及密码设置均采用十进制数形式,故可利用8421BCD 码编码器分别实现,以一位密码输入及密码设置为例,其实现框图如下:图1 密码输入及密码设置电路的实现框图(2)密码控制电路的设计思路分析以上设计任务与要求,密码控制电路的实现框图如下:图2 密码控制电路实现框图很容易得到:)()()()(1111D D C C B B A A F E ⊕•⊕•⊕•⊕==由上述逻辑表达式可确定相应的逻辑电路图。

(3)TTL 集成门电路与LED 发光二极管的接口电路设计TTL 集成门电路除了可驱动门电路外,还能驱动一些其它器件如LED 发光二极管。

以集成反相器为例,有如下两种情况如图3(a )、(b)所示:图3(a)输出高电平时LED 亮图3 (b) 输出低电平时LED 亮电路中串接的电阻R1、R2 为限流电阻,其作用是保护LED 因过流而烧坏。

8位密码锁课程设计

8位密码锁课程设计

8位密码锁课程设计一、课程目标知识目标:1. 学生能理解8位密码锁的基本原理,掌握二进制编码与密码锁之间的关系。

2. 学生能够运用数学逻辑知识,分析和设计简单的8位密码锁。

3. 学生了解密码学中的基础概念,如加密、解密等。

技能目标:1. 学生通过小组合作,能够动手搭建一个简易的8位密码锁模型,培养动手操作和团队协作能力。

2. 学生能够运用所学的逻辑思维,解决8位密码锁相关的问题,提高问题解决能力。

情感态度价值观目标:1. 学生通过本课程的学习,培养对信息科技的兴趣,激发创新意识和探索精神。

2. 学生在小组合作中,学会尊重他人意见,培养良好的沟通能力和团队协作精神。

3. 学生能够认识到信息安全的重要性,增强网络安全意识,树立正确的价值观。

本课程针对中学生设计,结合信息科技课程的教学要求,注重培养学生的实践操作能力和逻辑思维能力。

课程内容与教材紧密相关,通过实际操作和案例分析,让学生在掌握知识的同时,提高技能和情感态度价值观。

课程目标具体、可衡量,便于后续教学设计和评估。

二、教学内容1. 密码学基础知识:介绍密码学的基本概念,如加密、解密、密码等,结合教材相关章节,让学生了解信息安全的重要性。

2. 二进制编码原理:讲解二进制编码的基础知识,以及与8位密码锁的关联,使学生理解二进制在密码锁中的应用。

3. 8位密码锁原理:分析8位密码锁的工作原理,包括锁具结构、密码设置与识别等,结合教材内容,让学生掌握密码锁的基本构造。

4. 逻辑思维训练:通过案例分析和问题解决,训练学生运用逻辑思维解决8位密码锁相关问题。

5. 实践操作:组织学生分组,指导他们动手搭建简易的8位密码锁模型,培养动手能力和团队协作精神。

教学内容安排和进度:第一课时:密码学基础知识,二进制编码原理。

第二课时:8位密码锁原理,逻辑思维训练。

第三课时:实践操作,分组搭建8位密码锁模型。

教学内容与教材紧密相关,注重科学性和系统性,旨在帮助学生扎实掌握8位密码锁相关知识,提高实践操作能力。

基于fpga的数字密码锁设计与实现

基于fpga的数字密码锁设计与实现

一、概述在当今信息技术高度发达的时代,数字密码锁已成为人们生活中常见的安全保障措施之一。

随着FPGA(可编程逻辑门阵列)技术的不断成熟和普及,基于FPGA的数字密码锁设计与实现已经成为一个备受关注的研究方向。

本文将探讨基于FPGA的数字密码锁的设计原理、实现过程以及相关技术细节,为相关领域的研究和应用提供参考。

二、数字密码锁的基本原理1.数字密码锁的基本功能数字密码锁是一种利用密码验证来进行身份识别和门禁控制的设备。

其基本功能包括输入密码、密码验证和门禁控制等。

2.数字密码锁的工作原理数字密码锁通常由键盘、控制单元和执行单元等组成,其工作原理是用户通过键盘输入密码,控制单元接收并验证密码的正确性,然后执行单元根据验证结果控制门禁的开启或关闭。

三、基于FPGA的数字密码锁设计1.基于FPGA的数字密码锁的优势相比传统的基于单片机或嵌入式系统的数字密码锁,基于FPGA的数字密码锁具有更高的灵活性和可扩展性。

FPGA可以根据实际需求进行灵活的硬件逻辑设计,同时兼容多种通信协议和接口,使得其在数字密码锁设计中具有显著的优势。

2.基于FPGA的数字密码锁的设计原理基于FPGA的数字密码锁主要包括密码输入模块、密码验证模块和门禁控制模块。

密码输入模块负责接收用户输入的密码,密码验证模块根据预设的密码进行验证,门禁控制模块根据验证结果控制门禁的开启或关闭。

3.基于FPGA的数字密码锁的设计流程(1)确定需求:明确数字密码锁的功能和性能要求。

(2)硬件设计:设计数字密码锁的硬件逻辑,包括键盘接口、密码验证逻辑和门禁控制逻辑。

(3)软件设计:设计数字密码锁的用户界面和控制逻辑。

(4)综合与实现:将硬件和软件进行综合,实现数字密码锁的功能。

四、基于FPGA的数字密码锁的实现1.硬件设计(1)键盘接口设计:采用矩阵式键盘接口,利用FPGA内部的GPIO 接口进行连接。

(2)密码验证逻辑设计:采用逻辑门设计密码验证逻辑,包括密码存储、密码输入和密码比对等功能。

数电电子密码锁课程设计

数电电子密码锁课程设计

数电电子密码锁课程设计一、课程目标知识目标:1. 学生能理解数字电子密码锁的基本原理,掌握二进制编码、逻辑门电路等基础知识。

2. 学生能运用所学知识,设计并实现一个简单的数字电子密码锁。

技能目标:1. 学生能运用逻辑门电路设计出正确的密码锁电路,并能够进行电路仿真。

2. 学生能通过实践操作,掌握数字电路的调试与排错方法。

情感态度价值观目标:1. 学生培养对电子技术的兴趣,激发创新意识和实践能力。

2. 学生在团队协作中,学会沟通与交流,培养合作精神和解决问题的能力。

3. 学生意识到电子技术在日常生活中的应用,认识到科技发展对生活的影响。

课程性质:本课程为实践性课程,强调理论知识与实际操作相结合,提高学生的动手能力和创新能力。

学生特点:初三学生已具备一定的物理知识和电子技术基础,对新鲜事物充满好奇,但需要引导和激发。

教学要求:结合课本内容,注重实践操作,引导学生将理论知识运用到实际中,培养解决问题的能力。

将课程目标分解为具体的学习成果,以便于教学设计和评估。

二、教学内容1. 理论知识:- 二进制编码原理及转换方法- 逻辑门电路的种类、功能及相互关系- 数字电路的基本组成及工作原理2. 实践操作:- 设计数字电子密码锁的电路图- 选用合适的逻辑门电路进行密码锁电路搭建- 进行电路仿真,验证密码锁功能的正确性3. 教学大纲:- 第一阶段:讲解二进制编码原理、逻辑门电路知识,使学生掌握数字电路基础知识。

- 第二阶段:指导学生设计数字电子密码锁电路图,培养学生动手设计能力。

- 第三阶段:组织学生进行实践操作,搭建密码锁电路,并进行调试与排错。

- 第四阶段:总结课程内容,展示学生作品,进行交流与评价。

4. 教材章节:- 《电子技术基础》第三章:数字电路基础- 《电子技术基础》第四章:逻辑门电路及其应用教学内容安排和进度:- 理论知识:2课时- 实践操作:4课时- 课程总结与评价:1课时教学内容注重科学性和系统性,结合课程目标,确保学生能够将所学知识运用到实际操作中,培养其创新能力和实践能力。

电子密码锁总体设计方案

电子密码锁总体设计方案

电子密码锁总体设计方案电子密码锁是一种无钥匙开锁的安全锁具,比传统的机械锁更加安全可靠。

它采用密码验证方式来进行身份验证和门锁开启操作。

本文将介绍关于电子密码锁总体设计方案的相关内容。

一、设计要求电子密码锁的设计要求主要包括以下几个方面:1. 开锁方式:支持数字密码和指纹识别两种开锁方式。

2. 安全性:保证锁具具有较强的安全性,能够有效防止非法进入。

3. 可靠性:确保锁具可以长期稳定运行,而不会出现一些故障擦等问题。

4. 便携性:尺寸小巧便于携带,方便用户使用。

5. 电池寿命:尽量延长电池寿命,以减少用户更换电池的频率。

二、硬件设计1. 电源管理模块:设计合理的电源管理模块,确保电池供电充足并延长电池寿命。

2. 处理器:选择性能较强的32位处理器进行锁体控制。

3. 锁体设计:考虑锁体的设计以及材料选择,确保锁体结构牢固且不易被攻破。

4. 输入模块:设计合理的输入模块,包括数字按键和指纹识别模块,确保用户可以方便地输入密码并进行指纹识别。

5. 显示模块:设计合理的显示模块,在锁体上集成显示器,显示开锁状态及密码输入状态。

三、软件设计1. 编写控制程序:使用C语言等编写控制程序,实现锁具的控制逻辑和认证流程。

2. 加密算法:使用AES加密算法等确保锁具的数据传输和存储安全可靠。

3. 嵌入式操作系统:使用嵌入式操作系统确保锁具稳定性。

4. 用户界面:提供友好的用户界面,便于用户操作。

四、测试和验收1. 设计并进行测试计划,确保锁具功能正确且安全可靠。

2. 对锁具进行安全性测试,确保锁具无法被非法人员解锁。

3. 给用户提供使用说明书,进行使用效果和用户满意度评价。

五、结论电子密码锁总体设计方案应该综合考虑硬件和软件设计,兼顾安全性和易用性,在测试和验收过程中不断优化。

这种新一代无钥匙开锁的锁具应用广泛,可以应用于家庭、办公场所、酒店等地方,满足人们对于安全锁具的需求。

数字密码锁课程设计

数字密码锁课程设计

数字密码锁课程设计一、课程目标知识目标:1. 学生能理解数字密码锁的基本原理,掌握密码锁中数字编码的基本概念。

2. 学生能够掌握简单的数学运算在数字密码锁中的应用,如加法、减法、乘法和除法。

3. 学生能够了解数字密码锁在日常生活和高科技领域的应用。

技能目标:1. 学生能够运用所学知识设计一个简单的数字密码锁,并能够解释其工作原理。

2. 学生通过小组合作,提高问题解决能力和团队协作能力,培养创新思维和动手实践能力。

情感态度价值观目标:1. 学生培养对数学和科学技术的兴趣,增强对高科技产品的探索精神。

2. 学生在学习过程中,培养耐心、细致和严谨的学习态度,提高自我成就感。

3. 学生通过学习数字密码锁,增强信息安全意识,认识到科技在生活中的重要性。

课程性质:本课程属于综合实践活动课程,结合数学、科学和技术等多学科知识。

学生特点:六年级学生具有较强的逻辑思维能力和动手实践能力,对新鲜事物充满好奇心。

教学要求:教师应注重理论与实践相结合,引导学生通过小组合作、自主探究等方式,完成数字密码锁的设计与制作。

在教学过程中,关注学生的个体差异,激发学生的学习兴趣,提高学生的综合素养。

通过本课程的学习,使学生在知识、技能和情感态度价值观方面取得具体的学习成果。

二、教学内容1. 数字密码锁原理介绍:讲解数字密码锁的工作原理,涉及基本的数学运算和逻辑判断。

- 相关教材章节:数学课本中关于四则运算的应用,科学课本中关于简单机械原理。

2. 密码锁设计与制作:指导学生如何设计一个具有基本功能的数字密码锁。

- 教学大纲:- 了解数字密码锁的组成部分;- 学习密码设置与解码的基本方法;- 探究数字密码锁的安全性。

3. 实践操作:学生分组进行数字密码锁的组装和调试。

- 列举内容:- 准备材料和工具;- 按照设计图纸进行组装;- 进行功能测试和安全性分析。

4. 应用拓展:探讨数字密码锁在现实生活中的应用,以及未来发展趋势。

- 相关教材章节:信息技术课本中关于信息安全的内容。

数字密码锁课程设计教师评语

数字密码锁课程设计教师评语

数字密码锁课程设计教师评语
摘要:
一、课程设计背景
1.数字密码锁的应用领域
2.课程设计的目的与意义
二、课程设计内容
1.密码锁的原理与分类
2.数字密码锁的设计思路
3.数字密码锁的实现技术
4.数字密码锁的安全性能分析
5.数字密码锁的优化方向
三、课程设计成果
1.设计作品的完成情况
2.设计作品的创新点与亮点
3.设计作品的实用性及应用前景
4.设计作品的不足之处与改进空间
四、教师评语
1.对课程设计的总体评价
2.对学生设计成果的肯定与鼓励
3.对学生设计成果的改进建议
4.对学生未来发展的期望与寄语
正文:
一、课程设计背景
数字密码锁作为一种新型的锁具,凭借其便捷、安全的特点,在我国安防领域得到了广泛的应用。

为了培养学生的实践能力和创新能力,本次课程设计以数字密码锁为主题,旨在让学生了解并掌握数字密码锁的设计与实现技术。

二、课程设计内容
首先,我们从密码锁的原理与分类入手,让学生对密码锁有一个全面的认识。

然后,引导学生从设计思路、实现技术等方面对数字密码锁展开深入探讨。

在此基础上,分析数字密码锁的安全性能,并针对存在的问题提出优化方向。

三、课程设计成果
在本次课程设计中,学生们充分发挥自己的创新精神,设计出了许多具有实用性和应用前景的作品。

这些作品不仅体现了学生们对数字密码锁原理的理解,也展示了他们在实现技术方面的扎实功底。

四、教师评语
总体来说,本次课程设计达到了预期目标。

学生们在完成设计作品的同时,也展现出了对数字密码锁行业的热情和执着。

四位数字密码锁的设计

四位数字密码锁的设计

EDA 课程设计报告书课题名称 四位数字密码锁的设计 姓 名学 号 院 系 专 业 指导教师年 月 日※※※※※※※※※ ※※※※ ※※※※※※※※※※※级学生EDA 课程设计设计任务及要求:(1)设计任务:本课程设计要求设计的数字密码锁密码为4位,由密码锁输入电路、码锁控制电路、密码锁处理电路、显示电路四大部分组成,将各电路组合起来,构成了一个完整的电子密码锁。

(2)设计要求:①、密码输入:每按一个数字键,就输入一个数值,且将在显示器上的最右上方显示出该数字,并将先前已经输入的数据依序左移一位。

②、数码清除:按下此键可以清除前面所有的输入值,清除成为“0000”。

③、密码修改:按下此键时将目前的数字设定成新的密码。

④、激活电锁:按下此键可将密码锁上锁,红色LED灯将闪烁一次。

⑤、解除电锁:按下此键会检查输入的密码是否正确,若绿色LED亮则表示密码正确,密码锁将解锁。

指导教师签名:年月日二、指导教师评语:指导教师签名:年月日三、成绩验收盖章年月日四位数字密码锁的设计1 设计目的随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的喜爱,电子密码锁的使用也体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。

2 设计的主要内容和要求设计一个简单的数字电子密码锁,密码为 4 位。

要求具备如下功能:(1)如果输入数字键,第一个数字会从数码管的最右端开始显示,此后每按下一个数字键,数码管上的数字必须往左移动一格,以便将新的数字显示出来。

(2)本密码锁为四位密码锁,当输入的数字超过四个时,不会显示第四个以后的数字。

(3)按下密码清零键,清除所有输入的数字,清除成为“0000”,即做归零动作。

(4)按下解锁键,检查输入的密码是否正确,若解锁指示灯(绿色LED灯)闪烁一次,即表示密码正确(开锁)。

数字密码锁课程设计报告

数字密码锁课程设计报告

嵌入式系统课程设计报告数字密码锁摘要电子数字密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,我们采取的是单片机,它具有超大规模集成电路技术,有极强的数据处理功能,I/O口多。

以它为核心设计的密码锁,结构小,功能强,现在很多单位甚至家里的各个家用电器,还有保险箱都需要它。

目录1设计内容及要求 (3)2系统的设计 (3)2.1系统设计结构图 (3)2.2系统的工作原理说明 (4)3系统硬件设计 (4)3.1使用到的元器件列表 (5)3.2系统各模块简介 (5)4电路程序设计 (6)4.1软件总设计流程图 (6)4.2各模块具体实现 (7)附录1设计总体电路图 (12)附录2数字密码锁源程序 (13)1设计内容及要求1、任务设计一多位电子密码锁2、要求1)设计一多位电子密码锁,输入密码用“F”表示,输入密码正确,绿灯亮(或显示其他标志、蜂鸣器替代),输入密码错误,红灯亮(或显示其他标志、蜂鸣器替代)2)具有确定键和取消键,在未确定之前可以取消,重新输入3)连续输入三次错误密码,红灯闪烁,报警电路动作,键盘锁定4)具有密码重置功能5)具有等待操作时间限制功能,超过限定时间报警6)显示北京时间2系统的设计2.1系统设计结构图如下图所示,本设计的真题原理框图如下所示:图1 硬件系统的总体结构图2.2系统的工作原理说明本系统采用ADuc848单片机为核心的系统,加以4×4矩阵键盘、LED、蜂鸣器等。

矩阵键盘分别为0、1、2、3、4、5、6、7、8、9、Enter、Change、Conform、delete。

系统开始显示北京时间,按Enter后提示输入密码lcd显示“Enterplease”;输入密码过程中每输入一个密码屏幕上显示一个“*”,当密码输入完毕按下“confirm”键时,若输入密码与设定的密码相同,即密码正确,液晶屏显示为“OK!”提示锁打开,同时蜂鸣器响;若密码不正确,LED显示电路显示提示“wrong”,蜂鸣器响,若连续3次输入不正确,则发出警报声同时锁定键盘。

基于-VerilogHDL的数字密码锁的设计

基于-VerilogHDL的数字密码锁的设计

基于Verilog HDL的数字密码锁设计是一种常见的数字电路设计项目,它可以帮助学生理解数字逻辑电路和Verilog HDL的应用。

下面将详细介绍数字密码锁的设计方案。

一、系统结构设计数字密码锁主要由数字键盘、数码管显示、密码比对模块和控制逻辑组成。

数字键盘用于输入密码,数码管显示用于显示密码输入状态和开锁结果,密码比对模块用于比对输入的密码和预设的密码是否一致,控制逻辑用于控制整个系统的运行。

二、硬件设计1. 数字键盘:数字键盘采用矩阵式键盘,通过扫描按键来获取用户输入的密码。

2. 数码管显示:数码管用于显示密码输入状态,例如显示“请输入密码”、“密码正确”或“密码错误”等信息。

3. 密码比对模块:密码比对模块接收输入的密码和预设的密码,在Verilog HDL中实现密码比对逻辑。

4. 控制逻辑:控制逻辑用于控制密码输入、比对和显示的流程,以及控制门锁的开关。

三、Verilog HDL设计1. 数字键盘输入模块:编写Verilog HDL代码来接收数字键盘输入的密码。

2. 密码比对模块:编写Verilog HDL代码来比对输入的密码和预设的密码,输出比对结果。

3. 数码管控制模块:编写Verilog HDL代码来控制数码管的显示,根据密码比对结果显示相应的信息。

四、系统功能设计1. 密码输入功能:用户通过数字键盘输入密码。

2. 密码比对功能:系统对输入的密码进行比对,判断密码是否正确。

3. 显示功能:数码管显示密码输入状态和开锁结果。

五、仿真与综合完成Verilog HDL代码设计后,进行仿真验证,确保系统能够正常工作。

然后进行综合和布局布线,生成FPGA可编程文件。

六、总结与展望通过数字密码锁的设计,学生可以深入理解数字逻辑电路、Verilog HDL语言的应用,并且掌握数字密码锁系统的设计原理。

未来,可以进一步优化系统功能,增加更多的安全性和便利性功能,提升系统的性能和可靠性。

[课程设计]数字密码锁设计(共6页)

[课程设计]数字密码锁设计(共6页)

电子密码锁摘要本文的电子密码锁利用数字逻辑电路,实现对门的电子控制,并且有各种附加电路保证电路能够安工作,有极高的安全系数。

关键词电子密码锁电压比较器555单稳态电路计数器JK触发器UPS电源。

1 引言随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲呢。

设计本课题时构思了两种方案:一种是用以AT89C2051为核心的单片机控制方案;另一种是用以74LS112双JK触发器构成的数字逻辑电路控制方案。

考虑到单片机方案原理复杂,而且调试较为繁琐,所以本文采用后一种方案。

2 总体方案设计2.1设计思路共设了9个用户输入键,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,键盘输入电路自动清零,原先输入的密码无效,需要重新输入;如果用户输入密码的时间超过40秒(一般情况下,用户不会超过40秒,若用户觉得不便,还可以修改)电路将报警80秒,若电路连续报警三次,电路将锁定键盘5分钟,防止他人的非法操作。

2.2总体方框图3 设计原理分析电路由两大部分组成:密码锁电路和备用电源(UPS),其中设置UPS电源是为了防止因为停电造成的密码锁电路失效,使用户免遭麻烦。

密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、报警电路、键盘输入次数锁定电路。

3.1 键盘输入、密码修改、密码检测、开锁及执行电路 . 其电路如下图1所示:....图1 键盘输入、密码修改、密码检测、开锁、执行电路开关K1~K9是用户的输入密码的键盘,用户可以通过开关输入密码,开关两端的电容是为了提高开关速度,电路先自动将IC1~IC4清零,由报警电路送来的清零信号经C25送到T11基极,使T11导通,其集电极输出低电平,送往IC1~IC4,实现清零。

密码修改电路由双刀双掷开关S1~S4组成(如图2所示), 它是利用开关切换的原理实现密码的修改。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

唐山学院EDA技术课程设计题目数字密码锁设计系(部) 信息工程系班级姓名学号指导教师姚明林2012 年7 月9 日至7 月13 日共 1 周2012年7 月13日课程设计成绩评定表EDA技术课程设计任务书目录一前言 (1)二设计原理 (2)2.1设计要求 (2)2.2设计方案 (3)三仿真分析 (4)3.1 整体波形仿真 (4)3.2 模块波形仿真 (4)3.2.1 寄存器模块波形仿真 (4)3.2.2控制器模块波形仿真 (5)3.2.3 比较器模块波形仿真 (5)3.3 系统整体封装图 (6)四设计总结 (7)五参考文献 (8)附录 (9)一前言随着社会的发展和人们生活水平的提高,人们的安全意识的加强,安全防盗已成为社会问题。

自古以来锁在人们的生活中扮演着重要的角色,人们对其要求也较高,希望锁既要安全可靠地防盗,又要使用方便。

目前使用广泛的弹子锁由于要携带钥匙,经常更换锁芯等缺点,已经渐渐变得难以满足当前社会对于安全防盗的要求。

而数字密码锁由于其高安全性、低成本、易操作、密码可更换等优点受到越来越多人的欢迎。

在很多安全性要求高的地方已经有了数字密码锁的应用,如:数字保险柜、银行自动柜员机、门卡系统、自动售货机等。

随着现代电子技术的迅速发展,ASIC 技术的日趋进步和完善,数字系统的硬件设计正朝着速度快、体积小、容量大、重量轻的方向发展。

一个复杂的数字系统大概需要百万个以上的逻辑门或传输晶体管器件,如信号处理器,ALU 等,如果人工的话需要非常长的时间,这样效率就明显会下降。

目前大部分密码锁采用单片机进行设计,电路比较复杂,性能不够灵活。

而硬件描述语言VHDL的出现,则为设计者提供了一种用形式化方法来描述数字系统硬件电路的方法。

VHDL 具有强大的系统描述能力、规范的程序设计结构、灵活的语句表达风格和多层次的仿真测试手段。

根据系统的行为和功能要求,可以自上而下的完成相应的描述、综合、优化、仿真与验证,直至生成器件系统。

VHDL 使得设计者可以进行更大、更复杂的数字系统的设计。

本文采用先进的EDA技术,利用QUARTUS Ⅱ工作平台和VHDL语言,设计了一种新型的密码锁。

该密码锁具有密码预置和误码报警等功能,保密性好,远远大于以往的密码锁,使随机破译成功率几乎为零,编码可变,避免因人员的更替而使锁的保密级别下降且有利于用户编制易于记忆的密码,因而该数字密码锁具有体积小、功耗低、价格便宜、安全可靠,维护和升级都十分方便等优势。

数字密码锁是在实际应用中使用极其广泛的一种数字电路,它的主要功能是用来对某些物品进行加密保护,目的是避免无权人员进行越权操作。

随着人们生活水平的提高,密码锁作为家庭防盗卫士的作用日趋重要,它与普通机械锁相比有一些独特的优势,如:保密性强,防盗性能好,可以不用钥匙,只要记住密码即可开锁。

所以,具有防盗报警功能的数字密码锁代替安全性较差的机械锁已经成为必然趋势。

二设计原理2.1设计要求本系统是基于EDA作为开发工具,VHDL语言为硬件描述语言,QUARTUS II作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,初步实现了设计目标。

本系统具体采用元件例化的方法将各个工作模块连接在一起,实现系统完整的功能。

数字密码锁的系统结构框图如图1所示。

密码锁密码由3位十进制数字组成,初始密为“000”,密码由用户随意设置,当密码输入正确时开锁,密码输入错误时报警。

控制器是整个系统的功能核心,接受按键和其它模块传来的信息。

然后,根据系统的功能将不同的控制信号送到各个模块;比较器用来比较输入数据和寄存器的数据是否相等,结果送给控制器;寄存器在密码数据校验时,输出密码以供比较,在修改密码时,保存新的密码信息;钥匙信号控制锁的开和关;报警信号可接到LED或其它防盗设备上。

按“安锁”键,将锁闭合,开锁时,先按“输入密码”键,输入密码,再按“确认”键。

若输入密码有误,则报警,只有在开锁状态下才可设置新密码,应该先按“修改密码”键,输入新密码,然后按“确认”键。

图1数字密码锁结构框图2.2设计方案根据“自顶而上”的设计方法,将系统顶层实体划分为若干模块,本实验大体可分为三个模块,分别为:顶层实体控制器模块,寄存器模块,比较器模块。

控制器是整个系统的功能核心,接受按键和其他模块传来的信号,再根据系统功能产生相应的控制信号送到相关的模块,输出钥匙信号和报警信号。

键盘输入密码给比较器和寄存器。

并提供密码脉冲信号给控制器;比较器用来比较编码器输出和寄存器输出数据是否相等,输出送给控制器;寄存器在校验密码时,输出密码以供比较,在修改密码时,保存新密码。

钥匙信号控制锁打开、关闭,报警信号可以接LED及其他安防设备。

作为电子密码锁的输入电路,可选用的方案有拨码与按键来控制输入和触摸式键盘输入等多种。

拨码与按键和触摸式4*4键盘相比简单方便而且成本低,构成的电路简单,本设计中采用拨码与按键来作为该设计的输入设备。

三仿真分析3.1 整体波形仿真在数字密码锁代码编写完成后,用QUARTUS II对其进行编译并仿真,整个系统的仿真波形如图2所示。

可以看到,系统的初始密码为“000”,按“输入密码”键(start)后,“钥匙信号”(key)变为高电平,再按“确认”键(enter),此时系统为开锁状态;在此状态下,按“修改密码”键(ps_ch),输入新密码“111”,再按“确认”键(entet);按“安锁”键(lock),锁闭合(key变为低电平);再按“输入密码”键(start),此时输入密码“000”,然后按“确认”键(enter),系统报警(warn),因为新密码已变为“111”;再次按“输入密码”键(start),此时输入密码“111”,“钥匙信号”(key)变为高电平,报警信号(warn)变为低电平,然后按“确认”键(enter)。

图2 数字密码锁整体仿真波形3.2 模块波形仿真3.2.1 寄存器模块波形仿真图3是寄存器模块的仿真波形。

m的初始值为000。

当en=1时,寄存器将data_in 的数据赋给m,当en=0时,寄存器将m的数据赋给data_out。

图3 寄存器模块波形仿真3.2.2控制器模块波形仿真图4是控制器模块的仿真波形。

按“输入密码”键(statr),再使“确认”键(entet)、“比较信号”键分别为高电平有效,“钥匙信号”(key)变为高电平,系统处于开锁状态;在此状态下,按“修改密码”键(ps_ch),计数器时钟信号(cnt_clk)有效计数,按“安锁”键(lock),锁闭合,钥匙信号(key变为低电平);使“确认”键(entet)为高电平、“比较信号”键为低电平,这时再按“输入密码”键(start),钥匙信号(key变为低电平),系统报警(warn)。

图4 控制器模块波形仿真3.2.3 比较器模块波形仿真图5是比较器模块的仿真波形。

在数字密码锁中,比较器模块的功能是将输入数据与寄存器数据进行比较,结果送入控制器模块。

比较器的具体工作原理是:当a和b相等时,c输出为1,不相等时为0。

图5 比较器模块波形仿真3.3 系统整体封装图如图6所示,为顶层文件整体封装图。

图中有六个输入端口,两个输出端口。

图6 整体封装图四设计总结这次EDA课程设计历时一个星期,在整整一个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。

通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。

特别是当每一个子模块编写调试成功时,心里特别的开心。

但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。

在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定开锁信号后,但是钥匙信号有时候有,有时候没有,这让我非常的郁闷。

后来,在数十次的调试之后,才发现是因为定义的中间信号对于器件来说有延迟时,以至于总是不能成功,后来把中间信号改成变量,于是得到了正确的仿真结果。

另外系统时钟的设置也存在一点小问题,经过调试后,困难被克服。

最后,得以观察到完整正确的仿真结果。

本次课设中,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。

在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

五参考文献[1] 阎石主编.《数字电子技术基础》(第五版).高等教等育出版社.,2007[2] 李国丽朱维勇何剑春.《EDA与数字系统设计》(第2版).机械工业出版社.,2002[3] 宋武烈《EDA技术实用教程》. 湖北科学技术出版社 ,2006[4]谭会生《 EDA技术综合应用实例与分析》.西安电子科技出版社 ,2003[5] 潘松,黄继业.《EDA技术实用教程》.科学出版社,2010附录源代码:-------------------控制模块-----------------------library ieee;use ieee.std_logic_1164.all;entity control isport(clk,lock,start,ps_ch,enter,yes:in std_logic;warn,key,wr,en:out std_logic);end; architecture bhv of control istype state is(inlock,input,right1,wrong,unlock,change,alarm);signal ps,ns:state;beginprocess(clk)beginif clk'event and clk='1'thenps<=ns;end if;end process;process(ps,lock,start,ps_ch,enter,yes)begincase ps iswhen inlock=>key<='0';en<='0';warn<='0';wr<='0';if(start='1')then ns<=input;else ns<=inlock;end if;when input=>en<='1';wr<='0';key<='0';warn<='0';if(enter='0')then ns<=input;elsif(yes='1')then ns<=right1;else ns<=wrong;end if;when right1=>en<='0';wr<='0';key<='0';warn<='0';ns<=unlock;when wrong =>en<='0';wr<='0';key<='0';warn<='0';ns<=alarm;when unlock =>key<='1';warn<='0';en<='1';wr<='0';if lock='1'then ns<=inlock;elsif ps_ch='1'then ns<=change;else ns<=unlock;end if;when alarm =>warn<='1';key<='0';en<='0';wr<='0';if enter='0'then ns<=inlock;else ns<=alarm;end if;when change =>en<='1';wr<='1';warn<='0';key<='1';if enter='1'then ns<=unlock;else ns<=change;end if;end case;end process;end;-----------------寄存器模块--------------------library ieee;use ieee.std_logic_1164.all;entity reg isport(clk,wr,en:in std_logic;data_in:in std_logic_vector(11 downto 0);data_out:out std_logic_vector(11 downto 0));end;architecture bhv of reg isbeginprocess(clk)variable m:std_logic_vector(11 downto 0);beginif clk'event and clk='1' thenif en='1'and wr='1'then m:=data_in;end if;if m(3 downto 0)<="1001"and m(7 downto 4)<="1001"and m(11 downto 8)<="1001" thenif wr='0'and en='1' then data_out<=m;end if;end if;end if;end process;end;--------------比较器模块-----------------------library ieee;use ieee.std_logic_1164.all;entity cmp isport(a,b:in std_logic_vector(11 downto 0);c:out std_logic);end;architecture bhv of cmp isbeginc<='1'when a=b else'0';end;------------------顶层文件---------------------library ieee;use ieee.std_logic_1164.all;entity top isport(clk,lock,start,ps_ch,enter:in std_logic;key_in:in std_logic_vector(11 downto 0);warn,key:out std_logic);end;architecture bhv of top iscomponent control isport(clk,lock,start,ps_ch,enter,yes:in std_logic;warn,key,wr,en:out std_logic);end component;component reg isport(clk,wr,en:in std_logic;data_in:in std_logic_vector(11 downto 0);data_out:out std_logic_vector(11 downto 0));end component;component cmp isport(a,b:in std_logic_vector(11 downto 0);c:out std_logic);end component;signal d,e,g:std_logic;signal f:std_logic_vector(11 downto 0);beginu1:control port map (clk=>clk,lock=>lock,start=>start,ps_ch=>ps_ch,enter=>enter,yes=>g,key=>key,warn=>warn,wr=>d,en=>e);u2:reg port map(clk=>clk,wr=>d,en=>e,data_in=>key_in,data_out=>f); u3:cmp port map(a=>key_in,b=>f,c=>g);end;。

相关文档
最新文档