多功能电子时钟研究报告
多功能电子时钟数字系统课程设计设计实验报告
多功能电子时钟数字系统课程设计设计实验报告数字系统课程设计设计实验报告———多功能电子时钟目录一、电子时钟的功能及工作介绍 01、本设计电子时钟具有的功能 02、本设计电子时钟工作介绍 0二、设计思路 0三、各模块具体介绍 (1)计数器模块: (1)控制模块: (3)四、仿真 (6)五、实验成果 (6)六、实验总结和感想 (6)1、实验错误排查和解决 (6)2、实验感想 (7)七、各模块代码 (8)1、计数器模块 (8)2、控制模块 (20)一、电子时钟的功能及工作介绍1、本设计电子时钟具有的功能1)具有显示时、分、秒的功能,能准确显示时间2)能够手动设置时间3)具有闹钟功能,可以设置闹钟的时间,然后再实际时间与设定时间相等是闹钟响,并有闹钟开关,可控制其是否响4)具有秒表功能,可以累计计时2、本设计电子时钟工作介绍此电子时钟开机后即会显示时间,其中后两位数码管显示秒,前两位数码管显示分,还可以通过拨盘开关S1来使得前两位数码管显示小时。
(开机后,按下按键1一次,会继续显示时间。
)此后,每按下按键1一次,会显示设置小时界面,按下按键1两次会显示设置分钟界面,按下按键1三次会显示闹钟设置小时界面,按下按键1四次会显示闹钟设置分钟界面,按下按键1五次会显示秒表界面。
而在每一个界面,按下按键2相应的位会开始跳动,在按下按键2时,跳动停止,此时按下按键3,即确认键,则会返回时间显示状态。
二、设计思路设计一个电子时钟,必然要用到计时器,而需要设置时间和闹钟,又需要控制器来控制系统所处的状态。
我们采用外部一个按键来切换系统的状态,用另一个按键来调整时间和启动秒表,再有一个按键来确认操作,并返回显示状态,继续等待命令。
在控制器中,需要接受外部信号,并给出信号给计时器,使其做出相应的动作。
智能时钟创新研究报告
智能时钟创新研究报告智能时钟创新研究报告一、引言智能时钟是一种具有智能功能的电子设备,可以显示时间、闹钟、天气等信息,并可以通过网络连接其他智能设备进行控制。
智能时钟的出现大大方便了人们的生活,但目前市场上的智能时钟普遍功能较为简单,操作复杂,用户体验较差。
为了提升用户体验,本报告对智能时钟进行创新研究。
二、研究目标本次研究的目标是设计一款功能丰富、操作简便、用户体验良好的智能时钟。
三、创新内容1. 多功能显示屏智能时钟的显示屏除了可以显示时间之外,还应该显示其他实用信息,如日期、温度、湿度等。
同时,还可以与手机等设备进行连接,显示来电、短信等消息提醒。
通过提供多功能显示屏,可以为用户提供更多实用的信息。
2. 语音交互智能时钟应该具备语音交互功能,用户可以通过语音命令进行操作,如设置闹钟、调整音量等。
这样可以减少用户的操作步骤,提升用户体验。
3. 智能家居控制智能时钟可以连接智能家居设备,如智能灯泡、智能音箱等,实现对智能家居设备的控制。
用户可以通过智能时钟设置定时开关、调节亮度等,提升家居生活的便利性。
4. 个性化定制智能时钟可以支持个性化定制功能,用户可以根据自己的需求调整显示界面、设置闹钟提醒音乐等,实现个性化的使用体验。
四、研究方法本研究将采用以下方法进行创新设计和研究:1. 市场调研:对当前市场上智能时钟的功能、操作方式、用户体验等进行调研,了解用户需求与痛点。
2. 创新设计:基于市场调研结果,进行智能时钟的创新设计,包括多功能显示屏设计、语音交互设计、智能家居控制设计以及个性化定制设计。
3. 原型制作:根据设计结果,制作智能时钟原型,进行功能测试和用户体验评估。
4. 用户反馈收集与改进:通过用户的使用反馈和评价,对智能时钟进行改进和优化,提高产品的竞争力。
五、预期成果1. 设计出一款功能丰富、操作简便、用户体验良好的智能时钟原型。
2. 通过市场调研和用户反馈,不断改进和优化智能时钟的设计,提高产品的竞争力。
多功能电子时钟实训报告
一、实训目的本次实训旨在通过学习单片机技术,设计并实现一个基于单片机的多功能电子时钟系统。
通过实训,使学生掌握以下知识和技能:1. 熟悉单片机的基本原理和编程方法;2. 掌握电子时钟系统的硬件设计、软件编程和调试方法;3. 提高动手能力和实际应用能力。
二、实训内容1. 系统硬件设计(1)核心控制器:选用AT89C51单片机作为系统的核心控制器。
(2)时钟芯片:使用DS1302实时时钟芯片,提供精确的时间信号。
(3)液晶显示屏:选用1602液晶显示屏,用于显示时间、日期、温度等信息。
(4)按键模块:设计包含时间设置键、日期设置键、闹钟设置键等的按键模块。
(5)温度传感器:使用DS18B20温度传感器,用于检测环境温度。
(6)电源模块:为整个系统提供稳定的工作电压。
2. 系统软件设计(1)主程序:负责系统初始化、时钟显示、闹钟提醒、温度检测等功能。
(2)中断程序:负责时钟中断、闹钟中断、温度中断等。
(3)显示程序:负责液晶显示屏的显示内容更新。
(4)按键处理程序:负责按键扫描、按键消抖、按键功能处理等。
三、实训过程1. 硬件搭建(1)根据设计图纸,焊接电路板。
(2)连接单片机、时钟芯片、液晶显示屏、按键模块、温度传感器和电源模块。
(3)检查电路连接是否正确,确保系统硬件正常工作。
2. 软件编程(1)编写主程序、中断程序、显示程序和按键处理程序。
(2)使用C语言进行编程,并利用Keil软件进行编译。
(3)将编译好的程序烧录到单片机中。
3. 调试与优化(1)在Proteus仿真软件中,对系统进行仿真调试。
(2)检查程序运行是否正常,优化程序代码。
(3)对硬件电路进行调整,确保系统稳定运行。
四、实训结果1. 系统功能实现(1)显示当前时间、日期和温度。
(2)设置闹钟时间,并在设定时间响起。
(3)计时器功能,可以记录时间。
(4)温度检测功能,实时显示环境温度。
2. 系统稳定性通过仿真和实际测试,系统稳定运行,满足设计要求。
多功能数字钟电路设计实验报告
多功能数字钟电路设计实验报告实验目的:设计一个多功能数字钟电路,能够显示当前时间,并具备闹钟、秒表和计时等功能。
实验原理:1. 数码管显示:使用4位共阴极数码管进行显示,采用BCD码方式输入。
2. 按键输入:使用按键进行时间的调节和选择功能。
3. 时钟频率:使用晶体振荡器提供系统时钟,通过分频电路控制时钟频率。
实验器材:1. 4位共阴极数码管2. 按键开关3. 74LS90分频器4. 时钟晶体振荡器5. 耐压电容、电阻等元件6. 电路连接线实验步骤:1. 连接电路:根据电路原理图,将数码管、按键开关、74LS90分频器、晶体振荡器等连接起来,注意接线正确。
2. 编写程序:根据实验要求,编写相应的程序,实现时钟、闹钟、秒表和计时等功能。
3. 调试电路:将电路通电并运行程序,观察数码管的显示情况和按键功能是否正常。
4. 测试功能:分别测试多功能数字钟的时钟、闹钟、秒表和计时等功能,确保功能正常。
5. 完善实验报告:根据实验结果和观察情况,完善实验报告,并附上电路原理图、程序代码等。
实验结果:经过调试和测试,多功能数字钟电路能够正常显示时间,并具备时钟、闹钟、秒表和计时功能。
使用按键进行时间调节和功能选择,数码管根据不同功能进行相应的显示。
实验总结:通过本次实验,我掌握了多功能数字钟电路的设计原理和实现方法,并且了解了数码管显示、按键输入、时钟频率控制等相关知识。
实验过程中,我发现电路连接正确性对功能实现起到关键作用,同时合理编写程序也是确保功能正常的重要环节。
通过实验,我对数字电路的设计和实现有了一定的了解,并且培养了动手实践和解决问题的能力。
单片机多功能电子数字钟研究报告docdeflate
多功能电子数字钟设计数字钟在日常生活中最常见,应用也最广泛.本文主要就是设计一款数字钟,以89C52单片机为核心,配备液晶显示模块、时钟芯片、等功能模块.数字钟采用24小时制方式显示时间,定时信息以及年月日显示等功能.文章地核心主要从硬件设计和软件编程两个大地方面.硬件电路设计主要包括中央处理单元电路、时钟电路、人机接口电路、信号处理电路、执行电路等几部分组成.软件用C语言来实现,主要包括主程序、键盘扫描子程序、时间设置子程序等软件模块.关键词单片机液晶显示器模块数字钟一硬件电路设计及描述;1、MCS-51单片机单片机是在一块硅片上集成了各种部件地微型计算机.这些部件包括中央处理器CPU、数据存储器RAM、程序存储器ROM、定时器/计数器和多种I/O接口电路.8051单片机地结构特点有以下几点:8位CPU;片内振荡器及时钟电路; 32根I/O线;外部存储器ROM和RAM;寻址范围各64KB;两个16位地定时器/计数器; 5个中断源,2个中断优先级;全双工串行口.定时器/计数器8051内部有两个16位可编程定时器/计数器,记为T0和T1.16位是指他们都是由16个触发器构成,故最大计数模值为216-1.可编程是指他们地工作方式由指令来设定,或者当计数器来用,或者当定时起来用,并且计数(定时)地范围也可以由指令来设置.这种控制功能是通过定时器方式控制寄存器TMOD来完成地.在定时工作时,时钟由单片机内部提供,即系统时钟经过12分频后作为定时器地时钟.技术工作时,时钟脉冲由TO和T1输入.中断系统8051地中断系统允许接受五个独立地中断源,即两个外部中断申请,两个定时器/计数器中断以及一个串行口中断.外部中断申请通过INTO和INT1(即P3.2和P3.3)输入,输入方式可以使电平触发(低电平有效),也可以使边沿触发(下降沿有效).2、8051地芯片引脚如图1-2所示VCC:供电电压.GND:接地.P0口:P0口为一个8位漏极开路双向I/O口,每脚可吸收8TTL 门电流.当P1口地管脚第一次写1时,被定义为高阻输入.P0能够用于外部程序数据存储器,它可以被定义为数据/地址地第八位.在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高.P1口:P1口是一个内部提供上拉电阻地8位双向I/O口,P1口缓冲器能接收输出4TTL门电流.P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉地缘故.在FLASH编程和校验时,P1口作为第八位地址接收.P2口:P2口为一个内部上拉电阻地8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入.并因此作为输入时,P2口地管脚被外部拉低,将输出电流.这是由于内部上拉地缘故.P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址地高八位.在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器地内容.P2口在FLASH编程和校验时接收高八位地址信号和控制信号.P3口:P3口管脚是8个带内部上拉电阻地双向I/O口,可接收输出4个TTL门电流.当P3口写入“1”后,它们被内部上拉为高电平,并用作输入.作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉地缘故.P3口也可作为AT89C51地一些特殊功能口,如下表所示:P3.0 RXD(串行输入口);P3.1 TXD(串行输出口);P3.2 /INT0(外部中断0);P3.3 /INT1(外部中断1);P3.4 T0(记时器0外部输入);P3.5 T1(记时器1外部输入);P3.6 /WR(外部数据存储器写选通);P3.7 /RD(外部数据存储器读选通)P3口同时为闪烁编程和编程校验接收一些控制信号.RST:复位输入.当振荡器复位器件时,要保持RST脚两个机器周期地高电平时间.ALE/PROG:当访问外部存储器时,地址锁存允许地输出电平用于锁存地址地地位字节.在FLASH编程期间,此引脚用于输入编程脉冲.在平时,ALE端以不变地频率周期输出正脉冲信号,此频率为振荡器频率地1/6.因此它可用作对外部输出地脉冲或用于定时目地.然而要注意地是:每当用作外部数据存储器时,将跳过一个ALE脉冲.如想禁止ALE地输出可在SFR8EH地址上置0.此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用.另外,该引脚被略微拉高.如果微处理器在外部执行状态ALE禁止,置位无效./PSEN:外部程序存储器地选通信号.在由外部程序存储器取指期间,每个机器周期两次/PSEN有效.但在访问外部数据存储器时,这两次有效地/PSEN信号将不出现./EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器.注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器.在FLASH编程期间,此引脚也用于施加12V编程电源(VPP).XTAL1:反向振荡放大器地输入及内部时钟工作电路地输入.XTAL2:来自反向振荡器地输出.二设计基本原理简介数字钟地设计首先要保证其走时尽可能准确,其次再根据人们日常地使用习惯来设定其附加功能.在设计中利用单片机定时计数器来完成走时并用两组输出口控制数码管来显示;通过编程向某一输出口输出方波实现报时及闹铃;利用输入端口外接各种开关来完成对走时及显示地控制(如预置时间等).1复位电路MCS-51单片机地复位是由外部地复位电路来实现地.复位引脚RST 通过一个斯密特触发器与复位电路相连,斯密特触发器用来抑制噪声,在每个机器周期地S5P2,斯密特触发器地输出电平由复位电路采样一次,然后才能得到内部复位操作所需要地信号.上电复位:上电复位电路是—种简单地复位电路,只要在RST复位引脚接一个电容到VCC,接一个电阻到地就可以了.上电复位是指在给系统上电时,复位电路通过电容加到RST复位引脚一个短暂地高电平信号,这个复位信号随着VCC对电容地充电过程而回落,所以RST引脚复位地高电平维持时间取决于电容地充电时间.为了保证系统安全可靠地复位,RST引脚地高电平信号必须维持足够长地时间.2时钟电路时钟是单片机地心脏,单片机各功能部件地运行都是以时钟频率为基准,有条不紊地一拍一拍地工作.因此,时钟频率直接影响单片机地速度,时钟电路地质量也直接影响单片机系统地稳定性.常用地时钟电路有两种方式:一种是内部时钟方式,另一种为外部时钟方式.本文用地是内部时钟方式.电路图如下:MCS-51单片机内部有一个用于构成振荡器地高增益反相放大器,该高增益反向放大器地输入端为芯片引脚XTAL1,输出端为引脚XTAL2.这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定地自激振荡器.按键电路按键地开关状态通过一定地电路转换为高、低电平状态.按键闭合过程在相应地I/O端口形成一个负脉冲.闭合和释放过程都要经过一定地过程才能达到稳定,这一过程是处于高、低电平之间地一种不稳定状态,称为抖动.抖动持续时间地常长短与开关地机械特性有关,一般在5-10ms之间.为了避免CPU多次处理按键地一次闭合,应采用措施消除抖动.本文采用地是独立式按键,直接用I/O口线构成单个按键电路,每个按键占用一条I/O口线,每个按键地工作状态不会产生互相影响.电路图如下:4.3 按键电路按键地开关状态通过一定地电路转换为高、低电平状态.按键闭合过程在相应地I/O端口形成一个负脉冲.闭合和释放过程都要经过一定地过程才能达到稳定,这一过程是处于高、低电平之间地一种不稳定状态,称为抖动.抖动持续时间地常长短与开关地机械特性有关,一般在5-10ms之间.为了避免CPU多次处理按键地一次闭合,应采用措施消除抖动.本文采用地是独立式按键,直接用I/O口线构成单个按键电路,每个按键占用一条I/O口线,每个按键地工作状态不会产生互相影响.电路图如下:P1.0口表示功能移位键,按键选择要调整地年、月、日、小时、分、秒.P1.1口表示数字“+“键,按一下则对应地数字加1.P1.2口表示数字“-”键,按一下则对应地数字减1.P1.3口表示退去选项,时间已经调整好总电路原理图CO N9电路地软件设计 1软件程序内容本设计地软件程序包括主程序、中断子程序、打铃子程序、时钟显示子程序、查询时间表切换程序和延时子程序等等.另外由于电路中有四个按键,还另外设计了防抖动程序来防止干扰. 系统软件设计流程图这次地数字电子钟设计用到很多子程序,它们地流程图如下所示.主程序是先开始,然后启动定时器,定时器启动后在进行按键检测,检测完后,就可以显示时间.图5-1 主程序流程图按键处理是先检测秒按键是否按下,秒按键如果按下,秒就加1;如果没有按下,就检测分按键是否按下,分按键如果按下,分就加1;如果没有按下,就检测时按键是否按下,时按键如果按下,时就加1;如果没有按下,就把时间显示出来.图5-2 按键处理流程图定时器中断时是先检测1秒是否到,1秒如果到,秒单元就加1;如果没到,就检测1分钟是否到,1分钟如果到,分单元就加1;如果没到,就检测1小时是否到,1小时如果到,时单元就加1,如果没到,就显示时间.图5-3 定时器中断流程时间显示是先秒个位计算显示,然后是秒十位计算显示,再是分个位计算显示,再然后是分十位显示,再就是时个位计算显示,最后是时十位显示.5.3 定时程序设计单片机地定时功能也是通过计数器地计数来实现地,此时地计数脉冲来自单片机地内部,即每个机器周期产生一个计数脉冲,也就是每经过1个机器周期地时间,计数器加1.如果MCS-52采用地12MHz 晶体,则计数频率为1MHz,即每过1us地时间计数器加1.这样可以根据计数值计算出定时时间,也可以根据定时时间地要求计算出计数器地初值.MCS-51单片机地定时器/计数器具有4种工作方式,其控制字均在相应地特殊功能寄存器中,通过对特殊功能寄存器地编程,可以方便地选择定时器/计数器两种工作模式和4种工作方式.定时器/计数器工作在方式0时,为13位地计数器,由TLX(X=0、1)地低5位和THX地高8位所构成.TLX低5位溢出则向THX进位,THX计数溢出则置位TCON中地溢出标志位TFX.当定时器/计数器工作于方式1,为16位地计数器.本设计师单片机多功能定时器,所以MCS-51内部地定时器/计数器被选定为定时器工作模式,计数输入信号是内部时钟脉冲,每个机器周期产生一个脉冲使计数器增1.5.3.1实时时钟实现地基本方法时钟地最小计时单位是秒,但使用定时器地方式1,最大地定时时间也只能达到131ms.我们可把定时器地定时时间定为50ms.这样,计数溢出20次即可得到时钟地最小计时单位:秒.而计数20次可以用软件实现.秒计时是采用中断方式进行溢出次数地累积,计满20次,即得到秒计时.从秒到分,从分到时是通过软件累加并进行比较地方法来实现地.要求每满1秒,则“秒”单元中地内容加1;“秒”单元满60,则“分”单元中地内容加1;“分”单元满60,则“时”单元中地内容加1;“时”单元满24,则将时、分、秒地内容全部清零.5.3.2 实时时钟程序设计步骤(1)选择工作方式,计算初值;(2)采用中断方式进行溢出次数累计;(3)从秒——分——时地计时是通过累加和数值比较实现地;(4)时钟显示缓冲区:时钟时间在方位数码管上进行显示,为此在内部RAM中要设置显示缓冲区,共6个地址单元.显示缓冲区从左到右依次存放时、分、秒数值;(5)主程序:主要进行定时器/计数器地初始化编程,然后反复调用显示子程序地方法等待中断地到来.(6)中断服务程序:进行计时操作(7)加1子程序:用于完成对时、分、秒地加操作,中断服务程序在秒、分、时加1时共有三种条调用加1子程序,包括三项内容:合字、加1并进行十进制调整、分字.5.4程序说明在整个系统中,在单片机地30H、31H和32H中存储当前时间地小时、分钟和秒.由于要用数码管显示当前地时间,必须用到分字和合字,因此在33H、34H、35H、36H、37H和38H中存储当前时间地时十位、时个位、分十位、分个位、秒十位和秒个位,方便显示.本设计有由四个轻触按键组成地小键盘,这些按键可以任意改变当前地状态.按功能移位键一次,表示当前要校对小时地十位;按第二次,表示当前校对地是小时地个位;按第三次,则表示校对地是分钟地十位;第四次,表示地校对地是分钟地个位.按下数字“+”键和数字“-”键可在当前校对地数字上相应加上1或者减去1.本设计采用查表方式,在程序里预先存储两个表格,即日常作息时间表和考试时间表,可以通过手动按键来选择所要执行地时间表.并且用红、绿发光二极管来区别当前所执行地时间表.系统开机后,按功能移位键就可以调整当前地时间,整个系统操作简单,功能明确.显示数据时,先把要显示地数据送到数据缓冲区SBUF中,再从SBUF中显示.串行口缓冲寄存器SBUF器是可直接寻址地专用寄存器.在物理上,它对应着两个寄存器,一个发送寄存器,一个接收寄存器.CPU写SBUF,就是修改发送寄存器;读SBUF,就是读接收寄存器.接收器是双缓冲地,以避免在接收下一帧数据之前,CPU未能及时响应接收器地中断,没有把上一帧数据读走,而产生两帧数据重叠地问题.对于发送器,为了保持最大地传输速率,一般不需要双缓冲,因为发送时CPU是主动地,不会产生写重叠地问题.总程序ORG 0000H ;程序入口地址LJMP STARTORG 000BH ;定时器0中断入口地址LJMP TIMER_0K1 BIT P1.0 ;定义调时按键K2 BIT P1.1 ;定义调分按键START:MOV TMOD,#01H ;定时器0,工作模式1MOV TH0,#03CHMOV TL0,#0B0H ;赋初值,定时50msSETB EA ;开中断总开关SETB TR0 ;开启定时器0SETB ET0 ;定时器0中断允许位MOV R6,#0 ;用于控制走时地基准时钟源,计中断次数MOV R5,#0 ;R5用于控制扫描按键地时间间隔MOV 20H,#0 ;秒个位寄存器清零MOV 21H,#0 ;秒十位寄存器清零MOV 22H,#0 ;分个位寄存器清零MOV 23H,#0 ;分十位寄存器清零MOV 24H,#0 ;时个位寄存器清零MOV 25H,#0 ;时十位寄存器清零LJMP DISPLAYTIMER_0:CLR EAINC R6INC R5MOV TH0,#03CHMOV TL0,#0B0H ;重新赋初值,定时50ms SETB EARETIADD_TIME:CJNE R6,#20,EXIT ;定时器中断20次,1秒到MOV R6,#0INC 20HMOV A,20HCJNE A,#10,EXIT ;如果秒个位等于10,清零MOV 20H,#00HINC 21HMOV A,21HCJNE A,#6,EXIT ;如果秒十位等于6,清零MOV 21H,#00HINC 22HMIN:MOV A,22HCJNE A,#10,EXIT ;如果分个位等于10,清零MOV 22H,#00HINC 23HMOV A,23HCJNE A,#6,EXIT ;如果分十位等于6,清零MOV 23H,#00HINC 24HHOUR:MOV A,25HCJNE A,#2,LOOP ;如果时十位等于2,检查时个位MOV A,24HCJNE A,#4,EXIT ;如果时个位等于4,清零MOV 24H,#00H ;清零时个位MOV 25H,#00H ;清零时十位LJMP EXITLOOP:MOV A,24HCJNE A,#10,EXIT ;如果时个位等于10,清零MOV 24H,#00HINC 25HLJMP EXITEXIT:LJMP DISPLAY ;刷新完毕,跳转到显示DISPLAY: MOV DPTR,#TABLEMOV A,20HMOVC A,@A+DPTRMOV P0,ACLR P2.7LCALL DELAYSETB P2.7 ;显示秒个位MOV A,21HMOVC A,@A+DPTRMOV P0,ACLR P2.6LCALL DELAYSETB P2.6 ;显示秒十位MOV A,#0BFHMOV P0,ACLR P2.5LCALL DELAYSETB P2.5 ;显示“-”MOV A,22HMOVC A,@A+DPTRMOV P0,ACLR P2.4LCALL DELAYSETB P2.4 ;显示分个位MOV A,23HMOVC A,@A+DPTRMOV P0,ACLR P2.3LCALL DELAYSETB P2.3 ;显示分十位MOV A,#0BFHMOV P0,ACLR P2.2LCALL DELAYSETB P2.2 ;显示“-”MOV A,24HMOVC A,@A+DPTRMOV P0,ACLR P2.1LCALL DELAYSETB P2.1 ;显示时个位MOV DPTR,#TABLE1 ;该位使用TABLE1以消除前置0 MOV A,25HMOVC A,@A+DPTRMOV P0,ACLR P2.0LCALL DELAYSETB P2.0 ;显示时十位CJNE R5,#4,EXIT0 ;当R5到4时,扫描按键MOV R5,#0KEY_SCAN:JNB K1,ADD_HOURJNB K2,ADD_MINLJMP ADD_TIME ;无键按下,跳至走时ADD_HOUR:INC 24H ;小时加1LJMP HOUR ;更新ADD_MIN:INC 22H ;分钟加1LJMP MIN ;更新EXIT0:LJMP ADD_TIMEDELAY:MOV R7,#150 ;扫描延时DJNZ R7,$RETTABLE: ;数码管字形显示编码表DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H ;字形显示编码TABLE1:DB 0FFH,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H ;小时位地十位数编码,该位如果为0则不显示END ;程序结束2MiJT。
多功能数字时钟设计报告
多功能数字时钟设计报告目录一.设计任务和要求 (2)二.设计的方案的选择与论证 (2)三.电路的设计计算与分析 (4)四.总结及心得 (12)五.附录 (14)六.参考文献 (15)一设计任务和要求:实现24小时的时钟显示、校准、整点报时、闹铃等功能。
具体要求:(1)显示功能:具有“时”、“分”、“秒”的数字显示(“时”从0~23,分0~60,秒0~60)。
(2)校时功能:当刚接通电源或数字时钟走时有偏差,能动手对“时”、“分”、“秒”进行校时。
(3)整点报时:当时钟计时到整点时,能进行整点报时。
(4)闹铃功能:在24小时之内,可以设定定时时间,当数字时钟到定时时间时能进行.二设计的方案的选择与论证2.1.总体设计方案与思路系统用十进制的计数器分别构成一个二十四、两个六十进制的计数器,串联连接计数器、并加秒脉冲,使“秒”、“分”、“时”进位,从而数字时钟的显示显示功能;系统应用校时电路实现时钟的校时功能;系统应用译码电路将整点识别出来,同时进行报时;系统用寄存器先把闹铃响的时间存储起来,再用数值比较器,将寄存器存储的时间与实际时间点比较,如果相等,则闹铃工作,从而实现了时钟的闹铃功能。
多功能数字时钟的电路原理结构图如下图所示:图1.多功能数字时钟的电路原理结构图上图中:开关S2的作用,当S2置于左侧时数字时钟正常显示时间,当置于右侧时,数字时钟进行调时,此时若寄存电路的开关合闭则进行设置闹铃时间.2.2.方案的具体实现由于本电路的复杂性,电路图多的特点,本方案采用了子电路与主电路相结合的方案,这样易于调试和修改。
另外采用了总线的电路连线方式使电路图简洁美观。
1.总体电路的设计总体电路如图所示:DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUE DCD_HEX_BLUESONALERT600 Hz总体电路是由小时计时电路、分钟计时电路、秒钟计时电路、校时选择电路、整点译码电路、闹钟的寄存与比较电路、和由555定时器构成的秒脉冲电路等七个子电路模块连接在一起,和外接开关和数码管构成。
电子综合设计多功能数字钟报告
电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
多功能数字电子钟设计报告
多功能数字电子钟设计报告本文将介绍一个多功能数字电子钟的设计报告。
这个钟具有多种功能,可以显示时间,日期,室内温度和湿度,还可以设置闹钟。
这个钟被设计成简单易用,具有时尚外观和实用性。
硬件设计这个数字电子钟由以下主要部件组成:1. 微控制器:使用STM32F103微控制器进行控制和处理2. 显示屏:采用高清彩色TFT屏幕,尺寸为3.5英寸3. 传感器:使用DHT11温湿度传感器,可以实时监测室内的温度和湿度4. 时钟模块:使用DS1302 RTC(实时时钟)模块确保精准的时间显示5. 按键:包括上、下、左、右、确定和返回六个按键,方便用户设置和控制软件设计这个数字电子钟的软件设计采用了嵌入式设计的方法,代码分为三个主要部分:1. 时钟控制:这个数字电子钟确保了精准的时间显示,使用DS1302 RTC模块,可以确保时钟精度误差不超过±2秒/天。
时钟控制部分还包括时钟校准和闹钟设置。
2. 屏幕控制:这个数字电子钟使用3.5英寸TFT高清彩色屏幕,可以实现时钟、日期、温湿度和闹钟的显示。
屏幕控制部分可以显示多种信息,具有时尚的外观和设计。
3. 传感器控制:使用DHT11温湿度传感器监测室内环境。
传感器控制部分可以实现实时监测温度和湿度,并在屏幕上显示当前的室内温度和湿度。
功能设计这个数字电子钟具有以下主要功能:1. 时间显示:可以精准的显示当前的时间,包括小时、分钟和秒钟。
2. 日期显示:可以显示当前的日期,包括月份、日期和星期几。
3. 温湿度监测:可以实时监测室内的温度和湿度,并在屏幕上显示当前的数值。
4. 闹钟设置:可以设置多个闹钟,并在设定的时间开始响铃。
闹钟响铃时可以选择静音或自动关闭。
5. 操作简便:采用方便简单的按键操作设计,方便用户使用。
总结这个数字电子钟设计具有多种功能,采用了高清彩色TFT 屏幕,集精准时间、日期信息、温湿度信息便利的闹钟设置于一身,是一款可以满足日常生活需求的设计。
多功能电子时钟范文
多功能电子时钟范文电子时钟是一种计时设备,利用电子技术实现精确计时。
除了显示时间外,多功能电子时钟还具备多种功能。
本文将介绍一款多功能电子时钟的设计和功能。
设计理念:外观设计:功能设计:1.时间显示功能:多功能电子时钟应该能够准确地显示时间,包括小时、分钟和秒钟。
时间显示应采用大字体和明亮的背光,以便用户在光线较暗的地方也能够清楚地看到时间。
2.闹钟功能:多功能电子时钟应该具备可调节的闹钟功能,用户可以根据个人需求设置闹钟时间,并选择闹铃音乐或音效。
闹钟也应该有渐增音量和可选的重复闹钟功能。
3.定时功能:多功能电子时钟可以设置定时器,用户可以通过设置定时器来提醒自己做一些重要事情,如烹饪、瑜伽或学习。
定时器应该具有可调节时间长度和提醒方式的功能。
4.温湿度显示功能:多功能电子时钟应该能够实时显示当前的室温和湿度,以便用户了解环境的变化。
温湿度显示应该采用直观的图表或图标,用户可以很容易地看到温湿度的变化趋势。
5.日历功能:多功能电子时钟应该具备日历功能,能够显示当前的日期和星期。
用户可以通过日历功能来了解日期,特殊节日和重要事件等。
6.无线充电功能:多功能电子时钟可以内置无线充电模块,用户可以通过将兼容的设备放在多功能电子时钟上进行无线充电。
7.音乐播放功能:多功能电子时钟可以具备音乐播放功能,用户可以通过蓝牙连接或USB连接播放自己喜欢的音乐。
8.倒计时功能:多功能电子时钟具备倒计时功能,用户可以通过设定倒计时来提醒自己完成项任务或活动的时间。
总结:多功能电子时钟的设计应该注重实用性、美观性和用户体验。
通过合理的功能布局和直观的操作界面,用户可以轻松使用多功能电子时钟提供的各种功能。
不仅可以准确显示时间,还可以提供闹钟、定时、温湿度显示、日历、无线充电、音乐播放和倒计时等多种功能,帮助用户更好地管理时间和生活。
电子钟实验报告
电子钟实验报告电子钟实验报告引言:电子钟是一种利用电子技术来实现时间显示的装置,它不仅能够准确地显示时间,还具备了一些其他功能,如闹钟、温度显示等。
在本次实验中,我们将通过搭建一个简单的电子钟来了解其基本原理和工作方式。
一、材料与方法本次实验所需材料包括:Arduino开发板、LCD液晶显示屏、实时时钟模块、电阻、电容等。
我们首先将这些材料按照电路图连接起来,然后通过编写Arduino代码来实现时间的显示和功能的控制。
二、电子钟的原理电子钟的核心部分是实时时钟模块,它通过与Arduino开发板的连接,提供准确的时间信号。
实时时钟模块内部有一个独立的时钟电路,可以独立运行,并通过I2C总线与Arduino进行通信。
当我们将时间信息发送给实时时钟模块后,它会自动更新时间,并通过Arduino控制LCD显示屏来显示时间。
三、电路连接与编程我们首先将Arduino开发板与实时时钟模块通过I2C总线连接,然后将LCD显示屏与Arduino开发板连接。
接下来,我们需要编写Arduino代码来实现时间的显示和功能的控制。
在代码中,我们需要使用实时时钟模块的库函数来获取当前时间,并将其发送给LCD显示屏进行显示。
同时,我们还可以通过编写代码来实现一些其他功能,如闹钟、温度显示等。
四、实验结果与分析经过搭建电路和编写代码后,我们成功地实现了一个简单的电子钟。
通过观察LCD显示屏,我们可以清晰地看到当前的时间,并且可以通过按键来控制闹钟的开关和设置温度显示。
这个电子钟不仅具备了时间显示的功能,还具备了一些其他实用的功能,为我们的生活带来了便利。
五、实验总结通过本次实验,我们深入了解了电子钟的原理和工作方式,并通过实际操作来搭建了一个简单的电子钟。
在实验过程中,我们不仅学会了如何连接电路和编写代码,还锻炼了自己的动手能力和解决问题的能力。
电子钟作为一种常见的电子设备,广泛应用于我们的日常生活中,通过本次实验,我们对其有了更深入的了解。
多功能数字钟的设计报告
多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。
二、功能
1、设置时间和日期的功能。
2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。
3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。
4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。
5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。
三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。
1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。
2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。
3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。
多功能电子钟设计报告
单片机课程设计报告班级:学号:姓名:专业:学院:多功能电子时钟设计一、设计任务1、基本任务:利用定时器/计数器中断和静态显示或动态显示,实现电子钟的时分秒精确走时和校准。
时间显示用四个数码管分别显示时、分,秒用点表示,在时和分的中间闪动。
时间校准用2个键实现:一个键K1做选择(选中要修改的位,选中的位用闪烁指示),一个键K2做加1键(对选中的位要加1修改)。
2、功能增强型任务:在基本任务的基础上加日历功能,能实现时、分、秒和月、日计时,分两屏显示。
二、设计要求1、基本任务要求:(1)时间走时准确,每天误差不能超过3秒。
(2)仿照电子表的校时功能,校时修改时,被修改为能闪烁显示,按键要灵敏。
(3)若最高位为0,高位要能灭零显示。
三、硬件设计1、按键的设计按键K1、K2、K3、K4分别连接单片机芯片的P1.4、P1.5、P1.6、P1.7引脚,K1为时间与日期的切换显示键,K2为时间校正键,K3为加1键,K4为确定键。
2、定时器的设计定时器采用T0,T0的工作方式为方式1,定时器初值=216—62500=3036,化为十六进制即为0BDC,所以定时器T0由3036计到65536所需的时间为1/16s。
3、显示电路的设计本设计采用串行口静态显示方式,数据由RXD(P3.0)口送到74LS164中,4段数码管和4片74LS164相串联,TXD(P3.1)口作为移位脉冲,连接74LS164的第8引脚。
四、资源分配1/16计数单元:2FH月单元:30H日单元:31H时单元;:32H分单元:33H秒单元:34H校正状态标志位:20H.1 时间日期标志位:20H.0 校正指针单元:21H 五、流程图六、程序代码ORG 0000HSJMP MAINORG 000BHLJMP ITOPORG 0030HMAIN: MOV 50H,#0FFH ;按键信息初始化为未按键状态MOV 30H,#1 ;月单元MOV 31H,#1 ;日单元MOV 32H,#0 ;时单元MOV 33H,#0 ;分单元MOV 34H,#0 ;秒单元MOV 2FH,#16 ;2FH为十六分之一秒计数单元CLR 20H.1 ;校正状态标志清零,为正常走时状态SETB 20H.0 ;时间/日期标志置1MOV TMOD,#01H ;置T0工作于方式1MOV TH0,#0BH ;采用12M晶振,定时十六分之一秒MOV TL0,#0DCH ;装载定时器初值MOV IE,#82H ; 允许中断SETB TR0 ;启动定时器SJMP $ITOP: PUSH PSW ;现场保护PUSH ACCMOV TH0,#0BHMOV TL0,#0DCHDJNZ 2FH,KEY ;计数开始MOV 2FH,#16 ;十六分之一秒计数单元MOV A,34HADD A,#01H ;在0~9之间,加01HDA A ;进行十进制调整MOV 34H,A ;送到秒计数单元CJNE A,#60H,KEY ;未到六十秒转移到KEY,到了则顺序往下执行MOV 34H,#00H ;秒单元清零MOV A,33H ;分单元加1,并做十进制调整ADD A,#01HDA AMOV 33H,A ;送到分计数单元CJNE A,#60H,KEY ;未到六十分转移到KEYMOV 33H,#00H ;分单元清零MOV A,32H ;时单元加1,并做十进制调整ADD A,#01HDA AMOV 32H,A ;送到时单元CJNE A,#24H,KEY ;未到二十四小时转移,到了则顺序往下执行MOV 32H,#00H ;时单元清零MOV A,31H ;日单元加1,并做十进制调整ADD A,#01HDA AMOV 31H,A ;送到日单元CJNE A,#31H,KEY ;未到三十一天转移MOV 31H,#01H ;日单元变为一MOV A,30H ;月单元加1,并做十进制调整ADD A,#01HDA AMOV 30H,A ;送到月单元CJNE A,#12H,KEY ;未到十二个月转移MOV 30H,#01H ;月单元变为一KEY: JNB 20H.1,ZOUSHI ;判断是否校正状态,不是,则转非校正状态LJMP JIAOSHI ;是,则转为校正状态ZOUSHI: MOV A,P1CJNE A,50H,KEY1 ;判断是否与上次按键相同,不相同则转移到KEYLJMP NONE1 ;相同则不断判键KEY1: MOV 50H,A ;保存上次按键信息JNB ACC.4,SWITCH1 ;是否按下切换键JNB ACC.5,SET1 ;是否为校正键LJMP NONE1 ;没按与无效键均不作判断SWITCH1:CPL 20H.0 ;时间与日期的转换NONE1: JNB 20H.0,DATE1 ;判断日期与时间的标志MOV 3BH,32H ;将时间送到显示缓冲区MOV 3AH,33HLJMP DISPDA TE1: MOV 3BH,30H ;将日期送到显示缓冲区MOV 3AH,31HLJMP DISPSET1: SETB 20H.1 ;切换到校正标志MOV 21H,#0 ;校正指针并请零CLR 20H.0 ;选择日期标志MOV 3BH,30H ;将日期送到显示缓冲区MOV 3AH,31HLJMP DISPJIAOSHI:MOV A,P1CJNE A,50H,KEY2 ;判断是否与上次按键相同LJMP NONE2 ;若相同则不能判断按键KEY2: MOV 50H,A ;保存上次按键信息JNB ACC.5,SET2 ;是否为校正键JNB ACC.6,ADD2 ;是否为加键JNB ACC.7,SURE ;是否为确定键LJMP NONE2 ;空操作SET2: INC 21H ;校正指针并自动加1ANL 21H,#03HSJMP NONE2ADD2: MOV A,#30H ;指向需要校正的指针ADD A,21HMOV R0,AMOV A,@R0 ;需要校正的指针并自动加1ADD A,#1DA AMOV @R0,ACJNE R0,#30H,DATE ;判断月是否校正完成,校正完成跳转日CJNE @R0,#13H,NONE2MOV @R0,#1SJMP NONE2DA TE: CJNE R0,#31H,HOUR ;判断日是否校正完成,完成则跳转到时CJNE @R0,#32H,NONE2MOV @R0,#1SJMP NONE2HOUR: CJNE R0,#32H,MIN ;判断时是否校正完成,完成则跳转到分CJNE @R0,#24H,NONE2MOV @R0,#0SJMP NONE2MIN: CJNE @R0,#60H,NONE2 ;校正分MOV @R0,#0SJMP NONE2SURE: CLR 20H.1 ;校时完成跳转到走时标志SJMP TIME2NONE2: JNB 21H.1,DATE2 ;判断送到显示缓冲区的是时间还是日期TIME2: SETB 20H.0 ;时间/日期标志置1,为显示时间状态MOV 3BH,32H ;时间送到显示缓冲区MOV 3AH,33HLJMP DISPDA TE2: CLR 20H.0 ;时间/日期标志位清零,为显示日期状态MOV 3BH,30H ;日期送到显示缓冲区MOV 3AH,31HDISP: MOV A,3BH ;显示缓冲区中的内容分解为四位BCD码依次放入43H~40H 单元ANL A,#0F0HSW AP AMOV 43H,AMOV A,3BHANL A,#0FHMOV 42H,AMOV A,3AHANL A,#0F0HSW AP AMOV 41H,AMOV A,3AHANL A,#0FHMOV 40H,AJNB 20H.1,DISP1 ;不是校正状态,正常显示,否则校正状态下,闪烁显示JNB 2FH.3,DISP1 ;若2FH.3为0正常显示,若2FH.3为1,校正内容对应的BCD 码单元送熄灭码JNB 21H.0,N1 ;从校正指针判断送熄灭码的单元,为0高两位传送,为1低两位传送MOV 40H,#0AHMOV 41H,#0AHSJMP DISP1N1: MOV 42H,#0AHMOV 43H,#0AHLJMP DISP1DISP1: MOV DPTR,#TAB ;指向表单MOV A,43H ;查最高位MOVC A,@A+DPTRCJNE A,#09H,NEXT1 ;判断最高位是否为0MOV A,#0FFH ;为0 则给熄灭码NEXT1: MOV SBUF,A ;传送到数码管上,串行静态显示JNB TI,$CLR TIMOV A,42H ;查第二位MOVC A,@A+DPTRMOV C,2FH.3 ;判断并改变小数点,并判断半秒亮半秒灭ANL C,20H.0MOV ACC.0,CMOV SBUF,A ;传送到数码管上JNB TI,$ ;等待传输完毕CLR TI ;允许继续传输MOV A,41H ;查第三位MOVC A,@A+DPTR ;查显示数据对应段码JB 20H.0,NEXT2 ;判断时间/日期标志CJNE A,#09H,NEXT2 ; 日期灭0,时间状太不灭0MOV A,#0FFHNEXT2: MOV SBUF,AJNB TI,$CLR TIMOV A,40H ;查第四位MOVC A,@A+DPTRMOV SBUF,AJNB TI,$CLR TIPOP ACC ;现场恢复POP PSWRETITAB: DB 09H,7DH,07H,15H,71H,91H,81H,3DH,01H,11H,0FFHEND七、总结通过维持两天的课程设计,我对单片机有了更深的理解,以前做一些简单的实验还能自己编写代码,然后独立完成实验,但现在做个复杂点的设计,还是觉得有些难度的,不过思路还是有点的,在自己尝试着编写程序时,遇到的困难还是挺多的,特别是在写校时和显示的程序写到一半就写不下了,最后不得不参考别人的程序!有时候自己觉得刚开始不会写程序不要紧,能看懂别人写的程序还是挺重要的,因为程序看多了,有一定的积累,到最后自己写程序还是没问题的。
eda多功能数字钟实验报告
eda多功能数字钟实验报告EDA多功能数字钟实验报告一、引言数字钟是现代生活中常见的一种时间显示工具,其准确性和便携性使其成为人们生活中不可或缺的一部分。
本实验旨在设计并制作一款多功能数字钟,通过EDA(电子设计自动化)软件进行模拟和仿真,验证其功能和性能。
二、设计原理1. 时钟电路:采用CMOS(互补金属氧化物半导体)技术设计时钟电路,包括时钟发生器、计数器和显示器。
时钟发生器产生稳定的方波信号,计数器根据方波信号进行计数,显示器将计数结果以数字形式显示出来。
2. 功能模块:多功能数字钟除了显示时间外,还应具备日期显示、闹钟设置、温度检测等功能。
为实现这些功能,需要添加相应的模块,如时钟芯片、温度传感器、闹钟电路等。
三、电路设计1. 时钟电路设计:根据设计原理,使用EDA软件进行电路设计,选择合适的元器件和连接方式。
通过仿真验证电路的工作稳定性和准确性。
2. 功能模块设计:根据需求,添加相应的功能模块。
时钟芯片用于精确计时和日期显示,温度传感器用于检测环境温度并显示,闹钟电路用于设置闹钟时间并触发报警。
四、电路实现1. 元器件选取:根据电路设计需求,选择合适的元器件。
时钟芯片应具备高精度和稳定性,温度传感器应具备高灵敏度和准确度,闹钟电路应具备可调节和触发功能。
2. 电路布局:将选取的元器件按照电路设计进行布局,注意元器件之间的连接和布线,避免干扰和短路。
3. 电路连接:根据电路设计进行元器件之间的连接,注意连接的正确性和稳定性。
五、仿真与测试1. 仿真验证:使用EDA软件进行电路仿真,检查电路的稳定性和准确性。
通过仿真结果对电路进行调整和优化,确保其正常工作。
2. 功能测试:对多功能数字钟进行功能测试,包括时间显示、日期显示、温度检测和闹钟设置等。
通过测试结果对电路进行调整和改进,确保其功能的完善和可靠性。
六、实验结果与分析经过仿真和测试,多功能数字钟实现了准确的时间显示、日期显示、温度检测和闹钟设置等功能。
多功能数字钟设计实验报告
多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。
然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。
本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。
二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。
为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。
2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。
我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。
3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。
用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。
4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。
通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。
5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。
三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。
2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。
3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。
包括时间显示、日期显示、闹钟功能、温湿度显示等。
4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。
可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。
5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。
例如,优化显示效果、增加功能扩展等。
电子闹钟调研报告
电子闹钟调研报告《电子闹钟调研报告》一、引言电子闹钟作为现代人生活中常见的物品,其功能和设计不断得到改进和更新。
为了了解目前市场上电子闹钟的情况,我们进行了一次调研并整理了以下报告。
二、调研方法调研方法主要包括在线问卷调查和实地走访。
在线问卷调查针对不同年龄层的人群,了解他们对电子闹钟的使用习惯和需求,以及对现有产品的满意度。
实地走访主要是到一些电子产品商店和超市,了解市场上主流的电子闹钟品牌和型号,以及其价格和销售情况。
三、调研结果1. 调研对象对电子闹钟的使用习惯及需求根据问卷调查结果,大部分人都使用电子闹钟,其中手机闹钟是最常用的一种。
另外,年轻人更倾向于选择多功能、时尚的电子闹钟,而老年人则更注重其简单易操和清晰度。
2. 市场上主流的电子闹钟品牌和型号在走访过程中发现,市场上主要的电子闹钟品牌有飞利浦、索尼、博士、小米等。
不同品牌的电子闹钟在设计、功能、材质等方面存在明显的差异,且价格也有较大的差异。
3. 对现有产品的满意度根据问卷调查结果发现,大部分人对手机闹钟的使用感到满意,其次是功能较为齐全、设计美观的电子闹钟。
但也有部分人表示对某些品牌的电子闹钟不太满意,主要是因为其功能较为简单。
四、结论通过本次调研,我们发现电子闹钟在市场上有着不同的定位和受众群体。
在满足基本功能的前提下,品牌和设计风格是用户选择电子闹钟时比较关注的因素。
此外,价格也是影响用户购买决策的重要因素。
五、建议鉴于电子闹钟市场的多样性和竞争激烈,建议厂商要更加注重产品的差异化和创新,满足不同用户群体的需求。
同时,提高产品的实用性和性价比,以吸引更多消费者的关注和购买意愿。
六、展望随着科技的不断发展,新型材质和技术将逐渐应用到电子闹钟的生产中,未来电子闹钟将更加智能化、便携化和个性化。
希望本次调研结果能够为电子闹钟行业的发展提供一定的参考和借鉴。
多功能电子时钟范文
多功能电子时钟范文多功能电子时钟是一种集时钟、日历、温度显示等多种功能于一体的电子设备。
它能够精准地显示当前的时间,同时还可以显示日期、星期以及室内温度等信息。
多功能电子时钟不仅具备实用功能,还具有时尚的外观和便捷的操作,成为现代生活中的必备品。
首先,多功能电子时钟具备精准显示时间的功能。
它通过内置的高精度晶体振荡器来确保时间的准确性,误差通常控制在几秒以内。
因此,人们可以完全依赖多功能电子时钟来准确地了解当前的时间,帮助我们合理安排时间和生活。
其次,多功能电子时钟还具备显示日期和星期的功能。
在多功能电子时钟的显示屏上,可以清晰地显示当前的日期和星期。
这对于人们来说非常实用,不仅可以提醒我们当前是哪一天,还可以帮助我们合理安排每天的工作和生活。
另外,多功能电子时钟还具备显示室内温度的功能。
在现代人们越来越重视健康、舒适的生活环境的今天,室内温度的掌握变得尤为重要。
多功能电子时钟可以通过内置的温度传感器来准确感知室内温度,并将其显示在屏幕上,让人们随时掌握室内的温度,有助于合理调整室内的温度,提高生活质量。
此外,多功能电子时钟还具备报时和闹钟功能。
在事务繁忙的现代生活中,很多人都需要一个可靠的报时和闹钟来提醒自己进行各项活动。
多功能电子时钟可以按照设定的时间进行报时,还可以设置多个闹钟,确保我们不会错过重要的约会和活动。
最后,多功能电子时钟还具备背光和音量调节等人性化设计。
背光功能可以在夜间提供良好的观看体验,让人们在黑暗中也能清晰地看到屏幕上的时间和信息。
音量调节功能可以根据个人需求调整报时和闹钟的音量,避免因为过大的音量而打扰到他人或无法起床。
总的来说,多功能电子时钟是一种实用而时尚的电子设备,它将时钟、日历、温度显示等多种功能集成在一起,给人们的生活带来了很大的便利。
通过准确显示时间、日期和星期,人们可以更好地安排和把握时间。
同时,它还可以感知和显示室内温度,帮助人们创造一个更舒适的生活空间。
多功能电子时钟系统设计报告.
JIANGSU UNIVERSITY OF TECHNOLOGY 电子系统设计综合训练多功能电子时钟系统设计学院名称:电气信息工程学院专业:电气工程及其自动化班级:10 电子xx姓名:xxxx学号:xxxxx指导教师姓名:薛波俞洋2013年09月多功能电子时钟系统设计摘要随着科技的发展和社会的进步,人们对数字钟的要求也越来越高,多功能数字钟不管在性能还是在样式上都发生了质的变化。
数字钟的设计方法有许多种,例如,可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等等。
这些方法都各有其特点,其中利用单片机实现的电子钟具有编程灵活,并便于功能的扩展。
但这里为了学习数字电路,包括对组合逻辑电路与时序电路的学习,采用中小规模集成电路组成数字钟。
因此本系统采用单片机作为数字钟的核心控制器,读取时钟芯片的值,并通过显示器显示出来,而且,可以通过按键电路给单片机执行信号,实现正常显示,时钟校准和秒表的切换。
采用AT89S52作为主控单片机,时钟模块选用DS1302作为时钟芯片,显示模块选用LCD1602,设置部分选用按键电路。
本设计是以单片机为核心,附加必要的外围电路,通过编写C语言将存储在DS1302芯片中的年、月、日、时、分、秒,经过串行输入到单片机内部,对其进行数制的转换在DS1602上显现出来。
关键词:LCD160;DS1302;STC89C52;电子钟Multifunction electronic clock system desig nAbstractWith the development of technology and social progress , people demand more and more digital clock high , multi-functional digital clock regardless of the style or performance on both a qualitative change . Digital clock design There are many ways , for example , can be composed of small and medium- scale integrated circuits electronic bell ; also be used with a dedicated chip electronic clock display circuit and its peripheral circuit required electronic bell ; chip can also be used to implement electronic bell and so on. These methods have theirown characteristics , including the use of electronic clock with MCU programming flexibility , functionality and ease of expansion. But here in order to learn digital circuits, including combinational logic circuits and sequential circuits of study, using small and medium scale integrated circuits digital clock . Therefore, the system uses the MCU as the core controller digital clock , clock chip to read the values displayed by the display , and can be performed through the key signal to the microcontroller circuit to achieve normal display, clock and stopwatch calibration switch . Using AT89S52 microcontroller as the master clock module selection as the DS1302 clock chip, display module selection LCD1602, setting part of the selection key circuit .This design is a microcontroller as the core , the additional necessary peripheral circuits , through the preparation of the C language will be stored in the DS1302 chip year, month, day, hour, minute, second, through the serial input to the internal microcontroller , its number system conversion apparent on the DS1602 .Keywords:LCD1602;DS1302;STC89C52;Clock目录第1章绪论 (6)1.1 课题的意义和目的 (6)1.2 课题的研究内容 (6)1.3 课题的任务要求 (6)第2章系统方案设计 (7)2.1系统方案设计 (7)2.2 方案的选择与论证 (9)2.2.1 方案的比较与论证 (9)2.2.2 系统结构实现框图设计..................................................... 错误!未定义书签。
多功能电子钟设计报告
电子技术综合训练设计报告题目:多功能电子钟设计姓名:蔡冰倩学号:10230625班级:控制工程基地一班同组成员:吴博指导教师:杨新华日期:2012年1月1号摘要通过CPLD/FPGA可编程逻辑器件实现多功能电子钟。
电子钟的主要功能有计时功能、校正功能、整点报时功能及定时闹叫功能。
针对功能要求利用硬件描述语言设计程序,通过Quartus软件进行仿真分析,将程序下载到电路中,观察数码管可知实现其逻辑功能。
利用可编程逻辑器件可简化电路,实现功能的多样性。
关键字:多功能电子钟硬件描述语言可编程逻辑器件目录1 设计任务和要求 (4)1.1设计任务 (4)1.2设计要求 (4)2 系统设计 (5)2.1系统要求 (5)2.2方案设计 (6)2.3系统工作原理 (6)3 电子钟功能模块及仿真 (7)3.1分频模块及其仿真 (7)3.2计时模块及其仿真 (9)3.3定时模块及其仿真 (11)3.4按键消抖模块及其仿真 (14)3.5译码显示模块及其仿真 (15)3.6例化模块 (18)4 元件的选择 (44)5 电路安装、调试与测试 (45)5.1电路安装 (45)5.2电路调试 (46)5.3系统功能及性能测试 (46)5.3.1测试方法设计 (46)5.3.2测试结果及分析 (47)6 结论 (48)7 参考文献 (48)8 总结、体会和建议 (49)附录:电路原理图 (50)元器件清单 (53)1设计任务及要求1.1设计任务设计任务是进行设计的基础,根据对设计任务的分析和理解进行设计电路及相应元器件的选择,从而进行电路仿真和调试。
所以对设计任务的理解和分析是进行设计的关键。
本设计的设计任务为:利用CPLD/FPGA设计一个多功能电子钟。
数字电子钟是一种用数字显示秒、分、时的计时装置,由于十足集成电路技术的发展,使数字钟走时准确、多功能化且性能稳定等优点。
利用CPLD/FPGA 设计一个可实现计时、校时、整点报时、定时闹叫多功能的电子钟,需要分两步走。
多功能电子时钟研究报告
XTAL2来自反向振荡放大当作为输入并被外部下拉为低电平时,它们将输出电流,这是因内部上拉的缘故。P1.0和P1.1需要外部上拉,可用作片内精确模拟比较器的正向输入(AIN0)和反向输入(AIN1),P1口输出缓冲器能接收20mA电流,并能直接驱动LED显示器;P1口引脚写入“1”后,可用作输入。在闪速编程与编程校验期间,P1口也可接收编码数据。
新颖数字钟由上而下的进行层次化的设计,所有功能都是围绕单片机芯片AT89C2051展开。从各模块入手,首先定义各个模块的结构然后再对各个模块内部进行详细的设计。在设计过各个模块完成之后都要进行仿真调试,在仿真调试通过之后再对各个模块进行模块的组合兼容性调试。之后对整个工程文件进行整机调试。新颖设计利用单片机代替先前的大量的复杂的门电路使电路功能更加全面,时钟更加准确,利用单片机实现数字钟便于功能的扩展和系统升级,该数字钟能长期、连续、可靠、稳定的工作;同时还具有体积小、功耗低等特点,便于携带,使用方便,是一种新型的产品。新颖数字钟用四位数码管显示时、分的时钟。图中左边靠近电源的绿色发光二极管(长亮)是电源指示灯,表示电源工作;左下角红色发光二极管(秒灯)闪烁一次表示走动1秒钟;正上方绿色发光二极管是设置灯,当正常走动时此时不亮,当第一次按下设置键时,此绿灯亮,同时秒时熄灭,且分钟的两位数码管闪烁,停止走动,进入校时状态,此时可以进行分钟的调整,当按一次加一键(左键)可实现分钟的加1功能,达到60分时返回0,从0再重新算起;如果再次按下设置键时,若秒灯和设置灯仍旧保持熄灭和点亮状态,表示分钟的数码管停止闪烁,反过来表示小时的两位数码管则开始闪烁,此时可进行小时的调整,按加1键可实现时调整功能,到达24小时返回0;当第三次按下设置键数码管停止闪烁,设置灯熄灭,秒灯重新闪烁,以设定值计时。
智能时钟调研报告
智能时钟调研报告智能时钟调研报告一、引言随着科技的不断发展,智能设备逐渐成为我们日常生活中必不可少的一部分。
智能时钟作为智能设备的一种,有着越来越多的用户。
本次调研旨在了解智能时钟的发展现状、功能特点以及用户对其需求和评价,以及智能时钟市场的前景。
二、发展现状智能时钟是基于传统时钟的基础上融入了智能化的特点。
目前市场上的智能时钟主要有两类:智能触屏时钟和智能音箱时钟。
智能触屏时钟一般配备了触摸屏幕,用户可以通过触摸屏幕实现时间设置、闹钟、天气预报、音乐播放等功能。
而智能音箱时钟则和智能音箱相融合,用户可以通过语音控制来设置和操作时钟功能。
智能时钟的发展势头迅猛,已经成为了人们家居生活中的重要组成部分。
三、功能特点1. 多功能:智能时钟不仅仅是一个显示时间的设备,它还可以提供天气预报、闹钟、音乐播放和语音助手等多种功能。
用户可以通过智能时钟一站式解决多个需求,提高生活效率。
2. 智能化和人性化设计:智能时钟一般配备了智能语音助手,用户可以通过语音控制来设置和操作时钟功能,更加方便快捷。
同时,智能时钟的外观设计也更加注重美感和个性化,满足用户的个性化需求。
3. 互联网连接:智能时钟可以连接互联网,获取实时的天气预报、新闻播报等信息。
用户可以通过时钟的屏幕或者语音助手来获取所需信息,增加了时钟的实用性。
四、用户需求和评价根据调研结果显示,智能时钟受到了很多用户的欢迎。
用户最关注的功能是语音控制和多功能性,他们认为这些功能可以提高生活的便利度和娱乐性。
同时,用户也希望智能时钟具备更加智能化的功能,例如能够根据用户的生物钟自动调整闹钟时间等。
此外,用户还希望智能时钟的外观设计更加精致,并且能够根据个人喜好进行个性化定制。
五、市场前景智能时钟市场前景广阔。
随着人们对智能设备的需求不断增加,智能时钟作为智能家居的一项重要设备,将会得到更多的关注。
随着技术的发展,智能时钟的功能将会不断增强,用户对智能时钟的需求也会越来越高。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
XTAL2来自反向振荡放大器的输出。
P1口8位双向I/O口。引脚P1.2~P1.7提供内部上拉,当作为输入并被外部下拉为低电平时,它们将输出电流,这是因内部上拉的缘故。P1.0和P1.1需要外部上拉,可用作片内精确模拟比较器的正向输入(AIN0)和反向输入(AIN1),P1口输出缓冲器能接收20mA电流,并能直接驱动LED显示器;P1口引脚写入“1”后,可用作输入。在闪速编程与编程校验期间,P1口也可接收编码数据。
1.1数字时钟的概念
所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。
我们所设计的电子钟是由单片机89C2051控制电路、电源电路、时分显示部件、60秒旋转译码驱动电路组成,电路十分的简单,主要由2051芯片编程实现,功能十分的强大,时间精度较高,是一款比较实用的电子钟,同时也是一件有欣赏价值的工艺艺术品。
2.2 AT89C2051单片机及其引脚说明-----------9
2.3 60秒旋转译码驱动原理-----------------------11
2.4时分显示部件------------------------------------15
第三章系统的软件构成及功能-------------------19
时钟电路在计算机系统中起着非常重要的作用,是保证系统正常工作的基础。在一个单片机应用系统中,时钟有两方面的含义:一是指为保障系统正常工作的基准振荡定时信号,主要由晶振和外围电路组成,晶振频率的大小决定了单片机系统工作的快慢;二是指系统的标准定时时钟,即定时时间,它通常有两种实现方法:一是用软件实现,即用单片机内部的可编程定时/计数器来实现
AT89C2051单片机是51系列单片机的一个成员,是8051单片机的简化版。内部自带2K字节可编程FLASH存储器的低电压、高性能COMS八位微处理器,与Intel MCS-51系列单片机的指令和输出管脚相兼容。由于将多功能八位CPU和闪速存储器结合在单个芯片中,因此,AT89C2051构成的单片机系统是具有结构最简单、造价最低廉、效率最高的微控制系统,省去了外部的RAM、ROM和接口器件,减少了硬件开销,节省了成本,提高了系统的性价比。
此外,AT89C2051设有静态逻辑,可以在低到零频率的条件下工作,支持两种软件可选的省电模式。AT89C2051有20个引脚,除了缺少89C51通用单片机的一些常用引脚外,AT89C2051的P1.0、P1.1与P3口的P3.6还被赋予了其他的功能,即含有模拟输入和比较的功能,这就是我们为什么可以采用AT89C2051而不需要外部A/D转换芯片实现数据采集的关键
3.1系统主程序设计--------------------------------19
3.2定时器中断程序--------------------------------20
第四章结束语----------------------------------------23
附录------------------------------------------------------24
1.2设计要求及方法----------------------------------6
1.3系统的主要功能----------------------------------7
第二章系统的硬件构成及功能--------------------8
2.1电子时钟原理及方框图--------------------------------------------------------------------------4
第一章引言--------------------------------------------4
1.1数字时钟的概念----------------------------------5
1.电子钟基本部分参考电路器件清单--------24
2.新颖的60秒电子钟参考电路原理图-------25
3.电子钟基本部分参考电路原理图-----------26
4.60秒电子钟部分参考程序清单-------------27
摘 要
在信息技术急速发展的今天,计算机科学日新月异。而单片机作为计算机科学的一个分支,在微机控制领域得到长足的发展。随着单片机的发展与科学技术的提高,单片机已经成为人类生产生活中不可缺少的工具。现在,单片机的应用已经渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置、飞机上各种仪表的控制、计算机的网络通讯与数据传输、工业自动化过程的实时控制和数据处理、广泛使用的各种智能IC卡、民用豪华轿车的安全保障系统、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械了。单片机要解决的问题多数是仍是老问题,新颖之处是比以前用较少的元件。尤其是设计逻辑关系复杂的控制系统,过去用通用的逻辑门集成电路芯片将需要几十片甚至上百片,而现在只用几片就能够实现,而且方便灵活,做设计修改或改动功能时,只消改写软件原文件重写芯片,免去了在硬件线路上大动干戈的苦差事。大连减少芯片个数主要是靠单片机的可编程性和高度集成化。使开发周期更短,制造成本更低,用电更省和可靠性更高。要求用各种逻辑门芯片实现的逻辑电路,可以用一片单片机芯片加上相应的控制软件就可以实现。用单片机的另一个优点是设计软件将不断积累,不断模块化,形成标准化软件,大大提高了开发效率。
第一章引言
时钟,自从它发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术的不断发展,人们对时间计量的精度要求越来越高,应用越来越广。怎样让时钟更好的为人民服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。目前市场上提供的无论是机械钟还是石英钟在晚上无照明的情况下都是不可见的。要知道当前的时间,必须先开灯,故较为不便。现在市场上也出现了一些电子钟,它以六只LED数码管来显示时分秒,与传统的以指针显示秒的方式不同,违背了人们传统的习惯与理念,而且这类电子钟一般是采用大型显示器件,适用于银行、车站等公共场所,且外观设计欠美观,很少进入百姓家庭。此外,无论是机械钟、石英钟还是电子钟,都存在着共同的问题:时间误差。针对以上存在的问题,我们设计了一款采用LED显示器件显示的多功能电子时钟,有效克服了时钟存在的误差问题。
第二章系统的硬件构成及功能
2.1电子时钟原理及方框图
电脑钟的原理框图如图2.1所示。它由以下几个部件组成:单片机89C2051、电源、时分显示部件、60秒旋转译码驱动电路。
时分显示采用动态扫描,以降低对单片机端口数的要求,同时也降低系统的功耗。时分显示模块、60秒旋转译码驱动电路以及显示驱动都通过89C2051的I/O口控制。
当晶振为12MHz时,16位定时器的最大定时值为65.536mS;要达到1秒钟,可以采用两种方法:采用一个定时器定时与软件计数相结合的方法;或者采用两个定时器级联的方法。由于秒表在计时功能时也需要用到1个定时器,因此,我们采用第一个方法,只使用1个定时器,例如使用T0。
为了达到较为准确的计时,使T0的溢出时间为50ms,使用一个字节作为软件计数器ST,计数值为20。定时器的中断处理程序对ST进行减1操作,当ST为0时,1秒到达,此时更新存放小时、分钟、秒钟的显示缓存区。
AT89C2051是一个有20个引脚的芯片,引脚配置如图2.2所示。与8051相比,AT89C2051减少了两个对外端口(即P0、P2口),使它最大可能地减少了对外引脚下,因而芯片尺寸有所减小。
AT89C2051芯片的20个引脚功能为:
VCC电源电压。
GND接地。
RST复位输入。当RST变为高电平并保持2个机器周期时,所有I/O引脚复位至“1”。
1.2设计要求及方法
本次设计须用4只LED数码管输出显示时和分,要可通过按键设置闹钟功能,且停闹无须手工操作,也要通过按键设置分校时,月计时的误差要小于45秒。设计完成后要写也详细的设计报告和给出全部电路及源程序。
设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。
1.3系统主要功能
图1.1.1多功能电子钟外观图
电子钟的外观如图1.1周边60只发光管顺时旋转来显示秒,中间四只LED数码管用于显示时间,中下方的七只LED灯顺时旋转,供装饰用。其主要功能有:整点报时;四只LED数码管显示当前时分;每隔一秒钟周边的60只LED发光管旋转一格,装饰用的LED每隔一秒旋转一次。
当发生停电事件时,由后备电池供电,系统进入低功耗状态,所有显示部件停止显示,这样即延长了电池的寿命,同时又保证了CPU继续计数,不至于因停电而时钟停止运行。
对于时钟功能,需要在数码管上显示小时、分钟和秒钟,因此,可以在内部存储空间分别定义它们的显示缓存空间,来存放小时、分钟和秒钟的BCD码,各2个字节。由于时钟是不能停止的,因此需要采用内部定时器自动计时,并使用定时器中断处理程序来定时进行时间数值的刷新。52单片机的2个定时器都具有16位定时器的工作模式。
新颖数字钟由上而下的进行层次化的设计,所有功能都是围绕单片机芯片AT89C2051展开。从各模块入手,首先定义各个模块的结构然后再对各个模块内部进行详细的设计。在设计过各个模块完成之后都要进行仿真调试,在仿真调试通过之后再对各个模块进行模块的组合兼容性调试。之后对整个工程文件进行整机调试。新颖设计利用单片机代替先前的大量的复杂的门电路使电路功能更加全面,时钟更加准确,利用单片机实现数字钟便于功能的扩展和系统升级,该数字钟能长期、连续、可靠、稳定的工作;同时还具有体积小、功耗低等特点,便于携带,使用方便,是一种新型的产品。新颖数字钟用四位数码管显示时、分的时钟。图中左边靠近电源的绿色发光二极管(长亮)是电源指示灯,表示电源工作;左下角红色发光二极管(秒灯)闪烁一次表示走动1秒钟;正上方绿色发光二极管是设置灯,当正常走动时此时不亮,当第一次按下设置键时,此绿灯亮,同时秒时熄灭,且分钟的两位数码管闪烁,停止走动,进入校时状态,此时可以进行分钟的调整,当按一次加一键(左键)可实现分钟的加1功能,达到60分时返回0,从0再重新算起;如果再次按下设置键时,若秒灯和设置灯仍旧保持熄灭和点亮状态,表示分钟的数码管停止闪烁,反过来表示小时的两位数码管则开始闪烁,此时可进行小时的调整,按加1键可实现时调整功能,到达24小时返回0;当第三次按下设置键数码管停止闪烁,设置灯熄灭,秒灯重新闪烁,以设定值计时。