实验八 序列信号发生器

合集下载

序列信号发生器的分析与研究

序列信号发生器的分析与研究

序列信号发生器的分析与研究摘要信号发生器用来产生频率为20Hz~200kHz的正弦信号(低频)。

除具有电压输出外,有的还有功率输出。

所以用途十分广泛,可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。

另外,在校准电子电压表时,它可提供交流信号电压。

在数字信号的传输和数字系统的测试中,有时需要用到一组特定的串行数字信号,我们通常把这种串行数字信号叫做序列信号。

而产生序列信号的电路则称为序列信号发生器。

本文主要是通过序列信号发生器的原理、产生方式和分类,以及对电路进行设计仿真等几方面阐述了序列信号发生器分析与研究关键词:序列信号发生器电路仿真移位寄存器目录1、绪论·····················- 1 -1.1、信号发生器的简介:················- 1 -1.1.1、信号发生器简介:················· - 1 -1.1.2、信号发生器的工作原理:·············· - 1 -1.1.3、信号发生器的结构················· - 1 -1.1.4、信号发生器的分类················· - 2 -1.1.5、信号发生器的应用:················ - 2 -2、序列发生器的分析:················- 4 -2.1、序列信号发生器的介绍···············- 4 -2.2、序列信号发生器的工作原理:············- 4 -2.3、序列信号发生器的分类:··············- 4 -2.3.1、移位型序列信号发生器··············· - 4 -2.3.2、计数型序列信号发生器··············· - 5 -3、序列信号发生器的设计···············- 7 -3.1、序列信号发生器的设计:··············- 7 -3.2、序列信号发生器的实现步骤·············- 7 -3.3、器件及相关介绍:················- 13 -3.3.1、所用器件:···················- 13 -3.3.2、所用器件的介绍:················- 13 -4、结论····················- 21 -致谢·····················- 22 -参考资料···················- 23 -1、绪论1.1、信号发生器的简介:1.1.1、信号发生器简介:凡是产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。

实验八M序列发生及眼图观测实验

实验八M序列发生及眼图观测实验

实验八 M序列发生及眼图观测实验
四、实验原理
1、M序列
移位时 钟节拍
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
表1 m序列发生器状态转移流程图
第1级 a n1
0 1 0 0 1 1 0 1 0 1 1 1 1 0 0 0 1
第2级
an2
0 0 1 0 0 1 1 0 1 0 1 1 1 1 0 0 0
二、实验预习要求
认真预习《通信原理》中关于M序列及 眼图有关章节的内容。
通信工程专业实验室
实验八 M序列发生及眼图观测实验
三、实验仪器仪表
1、70MHz双踪数字存储示波器一台 2、实验模块:
数字编码模块——M序列输出 数字时钟信号源模块 眼图观测及白噪声输出模块
通信工程专业实验室
实验八 M序列发生及眼图观测实验
通信工程专业实验室
实验八 M序列发生及眼图观测实验
四、实验原理
2、眼图
所谓“眼图”,就是由解调后经过低通 滤波器输出的基带信号,以码元定时作为同 步信号在示波器屏幕上显示的波形。干扰和 失真所产生的传输畸变,可以在眼图上清楚 地显示出来。因为对于二进制信号波形,它 很像一只人的眼睛。
眼图是指利用实验的方法估计和改善(通
实验八 M序列发生及眼图观测实验
实验八 M序列发生及 眼图观测实验
【实验性质】:验证性实验
通信工程专业实验室
实验八 M序列发生及眼图观测实验
一、实验目的
1、掌握M序列等伪随机码的发生原理。 2、了解伪随机码在通信电路中的作用。 3、掌握眼图的观测。
通信工程专业实验室
实验八 M序列发生及眼图观测实验
t

设计序列信号发生器

设计序列信号发生器

数码电子学实验设计序列信号发生器报告人:XXX一.具体要求要求用D触发器和门电路设计一个产生1101001序列(序列左边先输出)的序列发生器。

二.实验目的1.熟悉原理图输出法;2.了解可编程器件的实际应用。

三.实验准备1.详解D 触发器 ①电路组成为了避免同步RS 触发器同时出现R 和S 都为1的情况,可在R 和S 之间接入非门G1,如图1所示,这种单输入的触发器称为D 触发器。

图2为其逻辑符号。

D 为信号输入端。

图1:D 触发器逻辑图 图2:D 触发器逻辑符号②逻辑功能在CP=0时,G2,G3被封锁,都输出1,触发器保持原状态不变,不受D 端输入信号的控制。

在CP=1时,G2,G3解除封锁,可接收D 端输入的信号。

如1=D 时,0=D ,触发器翻到1状态,即Q n+1=1,如0=D 时,1=D ,触发器翻到0状态,即Q n+1=0,由此可列出表1所示同步D 触发器的特性表。

表1:同步D 触发器特性表D Q n Q n+1 说明0 0 0 输出状态和D 相同 0 1 0 输出状态和D 相同 1 0 1 输出状态和D 相同 111输出状态和D 相同由上述分析可知,同步D 触发器的逻辑功能如下:当CP 由0变为1后,触发器的状态翻到和D 的状态相同; 当CP 由1变为0后,触发器保持原状态不变。

③D 触发器的名词来源D 触发器不会发生RS 触发器不确定的情形(S=1,R=1),也不会发生JK 触发器的追跑情况(J=1,K=1),那么为什么成为D 触发器呢?因为输出Q 等于输入D ,但是要经过一个CLOCK触发之后才产生,在时间上意味着有延迟时间的作用,所以称为D 型(Delay )触发器。

2.确定移位寄存器的级数n (即需要用多少个寄存器来寄存状态)我们知道,一个D 触发器可以寄存“0”和“1”两种状态,若序列周期为P ,则信号发生器的级数n 应满足2≤P n 。

在本例中,要产生1101001这个序列,3=n 。

序列信号发生器的原理

序列信号发生器的原理

序列信号发生器的原理
序列信号发生器是一种用于产生不同形式的序列信号的设备。

它通常由时钟和计数器组成。

首先,时钟信号提供基准频率,它确定了信号的周期。

该频率可以通过调节时钟的振荡器来控制。

接下来,计数器根据时钟信号进行计数,并将计数值转换为相应的输出信号。

计数器通常是一个二进制计数器,它可以产生从0到最大计数值的序列。

在通常的序列信号生成中,我们可以使用计数器的输出进行进一步的处理,以产生所需的信号形式。

例如,我们可以使用逻辑门、数字锁存器、移位寄存器等来操作计数器的输出,以产生更复杂的序列信号。

序列信号生成器可以产生各种形式的序列信号,包括方波、正弦波、三角波、锯齿波等。

通过调节时钟频率、计数器设置和进一步的处理电路,我们可以获得所需的信号频率、振幅和波形。

总之,序列信号发生器是通过时钟和计数器以及进一步的处理电路来生成不同形式的序列信号的设备。

它广泛应用于信号检测、信号分析、通信系统等领域。

序列信号发生器的设计方法及应用实例

序列信号发生器的设计方法及应用实例

序列信号发生器的设计方法及应用实例在现代通信系统中,序列信号发生器是一个非常重要的设备,它能够产生各种类型的信号序列,如随机序列、伪随机序列、码片序列等。

这些信号序列在数字通信系统、脉冲调制系统以及其他通信系统中起着至关重要的作用。

在本文中,我将深入探讨序列信号发生器的设计方法及其应用实例,并共享一些个人观点和理解。

1. 序列信号发生器的基本原理序列信号发生器是一种能够产生特定类型的信号序列的设备。

其基本原理是利用特定的算法和逻辑电路来产生所需的信号序列。

在设计序列信号发生器时,首先需要确定所需的信号类型,如随机序列、伪随机序列或者其他类型的序列。

然后根据所选的信号类型,选择合适的算法和电路来实现信号的生成。

最常见的序列信号发生器包括线性反馈移位寄存器(LFSR)、差分方程序列发生器等。

2. 序列信号发生器的设计方法在设计序列信号发生器时,需要考虑信号的周期、自相关性、互相关性等性能指标。

一般来说,设计序列信号发生器的方法可以分为以下几个步骤:(1)确定信号类型:首先需要确定所需的信号类型,如随机序列、伪随机序列或者其他类型的序列。

(2)选择算法和电路:根据所选的信号类型,选择合适的算法和电路来实现信号的生成。

常用的算法包括线性反馈移位寄存器、差分方程序列发生器等。

(3)优化性能指标:优化信号的周期、自相关性、互相关性等性能指标,以确保生成的序列满足系统的要求。

(4)验证和测试:设计完成后,需要对信号发生器进行验证和测试,确保其生成的信号符合设计要求。

3. 序列信号发生器的应用实例序列信号发生器在数字通信系统、脉冲调制系统以及其他通信系统中有着广泛的应用。

以下是一些典型的应用实例:(1)伪随机噪声序列发生器:在数字通信系统中,伪随机噪声序列被广泛用于信道编码、扩频通信以及通信安全等领域。

(2)码片序列发生器:在脉冲调制系统中,码片序列被用于直序扩频通信系统中的扩频码生成。

(3)随机序列发生器:在通信加密领域,随机序列被用于数据加密和解密。

实验八 序列信号发生器

实验八 序列信号发生器

实验八 序列信号发生器一. 实验目的1. 熟悉序列信号发生器的工作原理。

2. 学习序列信号发生器的设计方法。

二. 实验器材74LS161 四位十进制加法计数器 74LS00 四2输入与非门 74LS152 8选1数据选择器 发光二极管若干三. 实验原理在数字信号的传输和数字系统的测试中,有时需要用到一组特定的串行数字信号。

通常把这种串行数字信号叫做序列信号。

产生序列信号的电路成为序列信号发生器。

序列信号的构成方式有多种,比较简单的方式是用计数器和数据选择器组成。

例如,产生一个8位的序列信号00010111(时间顺序由左到右)既可以用一个八进制计数器和一个8选1数据选择器组成。

如图所示,其中八进制计数器取自74LS161(4位二进制计数器)的低三位,8选1数据选择器采用74LS152。

当CP 信号连续不断地加到计数器上,C B A Q Q Q 的状态(也为74LS152的地址输入代码)按图中所示的顺序不断循环,07D D 的状态取反后就循环不断地依次出现在Y 输出端。

C B A Q Q Q由图得到Y 的状态00010111→→→→→→→0S 1S 2S 3S 4S 5S 6S 7S若要修改序列信号,只要修改加到的高. 低电平即可,而不需要更改电路结构。

因此,这种序列信号发生器电路即灵活又方便。

四. 实验内容1 设计一个七位巴克码(0100111)的产生电路,画出电路的时序图。

2 设计灯光控制逻辑电路。

要求红、绿、黄三种颜色的灯在时钟信号作用下按表规定的顺序转换状态。

表中的1表示“亮”,0表示“灭”。

3 用74LS160和74LS138产生序列信号电路图如下:。

实验八 序列信号发生器

实验八 序列信号发生器

实验八序列信号发生器一.实验目的1. 熟悉序列信号发生器的工作原理。

2. 学习序列信号发生器的设计方法。

二.实验器材74LS161 四位十进制加法计数器 74LS00 四2输入与非门 74LS152 8选1数据选择器发光二极管若干三.实验原理在数字信号的传输和数字系统的测试中,有时需要用到一组特定的串行数字信号。

通常把这种串行数字信号叫做序列信号。

产生序列信号的电路成为序列信号发生器。

序列信号的构成方式有多种,比较简单的方式是用计数器和数据选择器组成。

例如,产生一个8位的序列信号00010111(时间顺序由左到右)既可以用一个八进制计数器和一个8选1数据选择器组成。

如图所示,其中八进制计数器取自74LS161(4位二进制计数器)的低三位,8选1数据选择器采用74LS152。

当CP信号连续不断地加到计数器上,QCQBQA的状态(也为74LS152的地址输入代码)按图中所示的顺序不断循环,D0?D7的状态取反后就循环不断地依次出现在Y输出端。

QCQBQA实验八第1页实验八序列信号发生器由图得到Y的状态0?0?0?1?0?1?1?1S0 S1 S2 S3 S4 S5 S6 S7若要修改序列信号,只要修改加到的高. 低电平即可,而不需要更改电路结构。

因此,这种序列信号发生器电路即灵活又方便。

四.实验内容1 设计一个七位巴克码(0100111)的产生电路,画出电路的时序图。

2 设计灯光控制逻辑电路。

要求红、绿、黄三种颜色的灯在时钟信号作用下按表规定的顺序转换状态。

表中的1表示“亮”,0表示“灭”。

实验八第2页实验八序列信号发生器CP顺序 0 1 2 3 4 5 6 7 8 红黄绿 0 0 0 1 0 0 0 1 0 0 0 1 1 1 1 0 0 1 0 1 0 1 0 0 0 0 03 用74LS160和74LS138产生序列信号实验八第3页实验八序列信号发生器电路图如下:实验八第4页感谢您的阅读,祝您生活愉快。

电工电子实验序列信号发生器

电工电子实验序列信号发生器

4 行为仿真
上页 目录
下页
4 行为仿真
上页 目录
下页
4 行为仿真
上页 目录
下页
4 行为仿真
参照P153页加入激励代码,保存。进行语法检查。
上页 目录
下页
4 行为仿真
上页 目录
下页
5 设计综合
上页 目录
下页
6 设计实现
上页 目录
下页
6 设计实现
上页目录 XC3S50AN芯片管脚与实验箱插座对应关系 下页
上页 目录
下页
1 启动工程设计向导
上页 目录
下页
1 启动工程设计向导
上页 目录
下页
2 新建顶层文件为原理图的工程
上页 目录
下页
2 新建顶层文件为原理图的工程
上页 目录
下页
放置器件符号: 计数器
3 新建原理图文件
上页 目录
下页
放置器件符号: 多路复用器
3 新建原理图文件
上页 目录
下页
放置器件符号: 电源
可编程器件XC3S50AN实验板:
使用前用短接线将实验箱插座“20”脚插孔和实验箱 “GND”相连接,“40”脚插孔和实验箱“+5V”相连接。 Xilinx XC3S50芯片管教与实验箱插座对应关系表如附表1-1 所示。
打斜纹的管脚请勿使用
可接CLK信号
并口线必须在关闭电源的情况下插拔!!!
上页 目录
下页
接+5V
可编程器件XC3S50AN小板
21~39号插 孔(I/O)
与计算机的接 口
复杂可编程逻辑器 件XC3S50AN
接GND
3~19号插孔 (I/O)

EDA乐曲播放器设计

EDA乐曲播放器设计

实验六乐曲播放器设计一、实验目的1.熟悉EDA技术开发流程;2.学习利用数控分频器设计硬件乐曲演奏电路。

二、实验要求使用层次设计方法,实现乐曲播放器的设计。

可以通过LPM_ROM存储节拍音符数,装载多首乐曲供播放选择,可手动或自动选择歌曲。

三、实验设计思路/原理图主系统由三个模块组成,分别为NoteTabs、tonetaba、SPEAK三个功能模块;如下图所示:下面分别来介绍这三个模块的功能:1) 音符的频率由SPEAK(数控分频器)得到,由其clk端输入一具有较高频率(实验箱上6MHz效果不错)的信号,通过SPEAK分频后由SPEAKER输出,由于直接从数控分频器中出来的输出信号是脉冲极窄的脉冲式信号为有利于驱动扬声器,再进行2分频,然后送到输出端;2) 音符的持续时间根据乐曲的速度即每个音符的节拍数来确定。

tonetaba模块的功能首先是为SPEAK提供决定所发音符的分频预置数,而此数在SPEAK输入口停留的时间即为此音符的节拍值,每一音符的停留时间由音乐节拍和音调发生器模块NoteTabs的clk的输入频率共同决定;3) 音符数据ROM的地址发生器。

在NoteTabs中设置了一个10位二进制计数器,最大计数值为1014,作为音符数据ROM的地址发生器。

这个计数器的计数频率选为4Hz,即每一计数值的停留时间为0.25秒,恰为当全音符设为1秒时,四四拍的4 分音符持续时间。

随着NoteTabs 中的计数器按4Hz 的时钟速率做加法计数时,即随地址值递增时,音符数据将从ROM 中通过q 端口输出到tonetaba 模块,乐曲就这样连续地演奏起来了。

原理图如下:四、实验程序1、NoteTabs模块1)可供选择控制模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY count ISPORT(CLK,RST:IN STD_LOGIC;SEC:IN STD_LOGIC_VECTOR(1 DOWNTO 0);--选择控制;CQ: OUT STD_LOGIC_VECTOR(9 DOWNTO 0));--控制输出;END;ARCHITECTURE BEHAV OF count ISBEGINPROCESS(CLK,RST,SEC)VARIABLE CQI:STD_LOGIC_VECTOR(9 DOWNTO 0);BEGINIF CQI=1015 OR RST='1' THEN CQI:=(OTHERS=>'0');--复位或计数溢出;ELSIF CLK'EVENT AND CLK='1' THENCASE SEC ISWHEN "00"=>IF CQI<1014 THEN—SEC为00时正常播放乐曲;CQI:=CQI+1;ELSE CQI:=(OTHERS=>'0');END IF;WHEN "01"=>IF CQI>135 THEN-- SEC为01时播放第一首乐曲;CQI:=(OTHERS=>'0');ELSE CQI:=CQI+1;END IF;WHEN "10"=>IF CQI<135 OR CQI>551 THEN -- SEC为10时播放第二首乐曲;CQI:="0010010000";--从144开始计数;ELSE CQI:=CQI+1;END IF;WHEN "11"=>IF CQI<551 THEN—SEC为11时播放第三首乐曲;CQI:="1000110000";--从560开始计数;ELSE CQI:=CQI+1;END IF;END CASE;END IF;CQ<=CQI;END PROCESS;END BEHAV;2)ROM地址发生器LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY lpm;USE lpm.all;ENTITY music ISPORT(address : IN STD_LOGIC_VECTOR (9 DOWNTO 0);inclock : IN STD_LOGIC ;q : OUT STD_LOGIC_VECTOR (4 DOWNTO 0));END music;ARCHITECTURE SYN OF music ISSIGNAL sub_wire0 : STD_LOGIC_VECTOR (4 DOWNTO 0);COMPONENT lpm_romGENERIC (intended_device_family : STRING;lpm_address_control : STRING;lpm_file : STRING;lpm_outdata : STRING;lpm_type : STRING;lpm_width : NATURAL;lpm_widthad : NATURAL);PORT (address : IN STD_LOGIC_VECTOR (9 DOWNTO 0);inclock : IN STD_LOGIC ;q : OUT STD_LOGIC_VECTOR (4 DOWNTO 0));END COMPONENT;BEGINq<= sub_wire0(4 DOWNTO 0);lpm_rom_component : lpm_romGENERIC MAP (intended_device_family => "ACEX1K",lpm_address_control => "REGISTERED",lpm_file => "music.mif",lpm_outdata => "UNREGISTERED",lpm_type => "LPM_ROM",lpm_width => 5,lpm_widthad => 10)PORT MAP (address => address,inclock => inclock,q => sub_wire0);END SYN;3)NoteTab顶层文件LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY work;ENTITY NoteTabs ISport(CLK,RST: IN STD_LOGIC;SEC : IN STD_LOGIC_VECTOR(1 downto 0);q : OUT STD_LOGIC_VECTOR(4 downto 0));END NoteTabs;ARCHITECTURE bdf_type OF NoteTabs IScomponent musicPORT(inclock : IN STD_LOGIC;address : IN STD_LOGIC_VECTOR(9 downto 0);q : OUT STD_LOGIC_VECTOR(4 downto 0));end component;component countPORT(CLK,RST : IN STD_LOGIC;SEC : IN STD_LOGIC_VECTOR(1 downto 0);CQ : OUT STD_LOGIC_VECTOR(9 downto 0));end component;signal SYNTHESIZED_WIRE_0 : STD_LOGIC_VECTOR(9 downto 0);BEGINb2v_inst : musicPORT MAP(inclock => CLK,address => SYNTHESIZED_WIRE_0,q => q);b2v_inst1 : countPORT MAP(CLK => CLK,RST => RST,SEC => SEC,CQ => SYNTHESIZED_WIRE_0);END;2、tonetaba模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY tonetaba ISPORT(Index:IN STD_LOGIC_VECTOR(4 DOWNTO 0);--ROM存储器中音符输入;Code:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);——音符HML:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);--高低音TONE:OUT STD_LOGIC_VECTOR(14 DOWNTO 0));--分频预置数END;ARCHITECTURE BEHAV OF tonetaba ISBEGINPROCESS(Index)BEGINCASE Index ISWHEN "00000"=>TONE<="000000000000000";Code<="0000";HML<="0000";WHEN "00001"=>TONE<="101100110010101";Code<="0001";HML<="0001";--低1音预置数22933 WHEN "00010"=>TONE<="100111111001111";Code<="0010";HML<="0001";--低2音预置数20431 WHEN "00011"=>TONE<="100011100011010";Code<="0011";HML<="0001";--低3音预置数18202 WHEN "00100"=>TONE<="100001100011101";Code<="0100";HML<="0001";--低4音预置数17181 WHEN "00101"=>TONE<="011101111001011";Code<="0101";HML<="0001";--低5音预置数15307 WHEN "00110"=>TONE<="011010101000100";Code<="0110";HML<="0001";--低6音预置数13636 WHEN "00111"=>TONE<="010111101110101";Code<="0111";HML<="0001";--低7音预置数12149 WHEN "01000"=>TONE<="010110011001011";Code<="0001";HML<="0010";--中1音预置数11467 WHEN "01001"=>TONE<="010011111101000";Code<="0010";HML<="0010";--中2音预置数10216 WHEN "01010"=>TONE<="010001110001010";Code<="0011";HML<="0010";--中3音预置数9098 WHEN "01011"=>TONE<="010000110001110";Code<="0100";HML<="0010";--中4音预置数8590 WHEN "01100"=>TONE<="001110111100101";Code<="0101";HML<="0010";--中5音预置数7653 WHEN "01101"=>TONE<="001101010100010";Code<="0110";HML<="0010";中6音预置数--6818 WHEN "01110"=>TONE<="001011110111010";Code<="0111";HML<="0010";中7音预置数--6074 WHEN "01111"=>TONE<="001011001101011";Code<="0001";HML<="0100";高1音预置数--5739 WHEN "10000"=>TONE<="001001111110100";Code<="0010";HML<="0100";高2音预置数--5108 WHEN OTHERS => NULL;END CASE;END PROCESS;END;3、SPEAK模块LIBRARY IEEE;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SPEAK ISPORT(CLK:IN STD_LOGIC;Tone:IN STD_LOGIC_VECTOR(14 DOWNTO 0);--预置分频系数CQ:OUT STD_LOGIC);--音符输出END;ARCHITECTURE BEHAV OF SPEAK ISSIGNAL N:STD_LOGIC;BEGINPROCESS(Tone)VARIABLE Count11:STD_LOGIC_VECTOR(14 DOWNTO 0);--15位可预置计数器;BEGINIF CLK'EVENT AND CLK='1' THENIF Count11=Tone THEN Count11:="000000000000000";N<='1';ELSE Count11:=Count11+1;N<='0';END IF;END IF;END PROCESS;PROCESS(N)VARIABLE COUNT2:STD_LOGIC;BEGINIF N'EVENT AND N='1'THEN COUNT2:=NOT COUNT2;--将输出再2分频,展宽脉冲,使扬声器有足够的功率;IF COUNT2='1'THEN CQ<='1';ELSE CQ<='0';END IF;END IF;END PROCESS;END;五、实验步骤1、建立工作库文件和编辑设计文件(1)在D盘新建一个文件夹用来保存工程文件(2)打开QuartusⅡ8.0软件,选择菜单File->New->VHDL File,点击OK后在打开的界面下输入已经设计好的程序。

数电实验报告序列信号发生器的设计与实现

数电实验报告序列信号发生器的设计与实现

北京邮电大学数字电路与逻辑设计实验报告姓名:李金隆学号: 09210947--15班级: 2009211204学院: 电子工程学院2011年5月1日一、实验名称:序列信号发生器的设计与实现二、实验任务要求:1、用VHDL语言设计实现一个信号发生器,产生的序列码为01100111,仿真验证其波形,并下载到实验板测试。

2、用VHDL语言设计实验一个序列长度为7的M序列发生器,仿真验证其功能,并下载到实验班测试。

三、设计思路与过程1、序列信号发生器序列信号发生器的端口由一个时钟输入和两个输出,信号序列输出q_out和时钟输出clk_outt组成。

程序由两个进程构成,第一个进程p1描述状态逻辑,使用if语句实现自启动;第二个进程p2描述输出逻辑,用case语句完成其功能。

根据题目要求,在第1、4、5位置上输出为“0”,在其他位置上输出为“1”,每8位实现一次循环。

在实验过程中,首先在建立Quartus II软件中建立工程,然后再工程中建立VHDL文件,输入程序代码后保存调试,编译成功后,建立Vector Waveform文件进行仿真,仿真完毕后,在程序中引入分频器,编译,锁定引脚后,下载到实验板验证其功能。

在实验板上用一个开关代表clear清零,两个LED一个显示输出序列,一个显示时钟序列clk_outt。

2、M_序列信号发生器M_序列信号发生器的端口由一个时钟输入clk和两个信号输出,时钟输出clk_outt和序列信号输出q_out组成,进程p1描述状态逻辑,用if语句完成循环。

实验过程与实验1类似。

四、VHDL程序源代码1、序列信号发生器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xinhao ISport(clk:in std_logic;clear:in std_logic;q_out:out std_logic;clk_outt:out std_logic);--用户定义的输入输出及类型end xinhao;architecture a of xinhao iscomponent div50mport(clk_in:in std_logic;clk_out:out std_logic);--用户定义分频器的输入输出及类型end component;signal tmp: integer range 0 to 7;signal clock: std_logic;--用户定义的信号及其类型beginu1: div50m port map(clk_in=>clk,clk_out=>clock);--分频器的引入p1:process(clock)--第一个进程p1描述状态逻辑beginif clock'event and clock='1' thenif tmp=7 thentmp<=0;elsetmp<=tmp+1;end if;end if;end process p1;p2: process(clear,tmp)—第二个进程描述输出逻辑beginif clear='0' thenq_out<='0';elsecase tmp iswhen 0|3|4 =>q_out<='0';when others =>q_out<='1';end case;end if;end process p2;clk_outt<=clk;end a;2、M序列信号发生器library IEEE;USE IEEE. std_logic_1164.all;entity m_xulie isport (clk:in std_logic;q_out:out std_logic;clk_outt:out std_logic);--用户定义的输出输入及类型end m_xulie;architecture a of m_xulie iscomponent div50mport(clk_in:in std_logic;clk_out:out std_logic);--用户定义分频器的输入的输出及类型end component;signal tmp:std_logic_vector (2 downto 0);signal clock: std_logic;beginu1: div50m port map(clk_in=>clk,clk_out=>clock);--分频器的引入 p1:process(clk)—第一个进程P1描述状态逻辑beginif tmp= "000" then tmp <="001"; elsif clk'event and clk ='1' then tmp(0)<=tmp(0) xor tmp(2);tmp(1)<=tmp(0);tmp(2)<=tmp(1);end if;end process p1;q_out<=tmp(2);--数列信号的输出clk_outt<=clk;end a;五、RTL电路图1、序列信号发生器2、M_序列信号发生器六、仿真波形及其分析1、序列信号发生器从波形仿真图中可以看出,在时钟信号的上升沿,输出信号序列开始按01100111变化,当clear清零时,序列输出q_out也为“0”,时钟输出clk_outt相对于时钟输入clk有一定的时间延迟。

序列信号发生器

序列信号发生器

Q2* Q1Q0 Q2 00 01 11 10
0
1
11
dd
D2=Q2Q0'+Q1Q0
Y Q1Q0 Q2 00 01 11 10
01 1 1
1
dd
Y=Q2'Q1'+Q1Q0
11
3.1 利用D 触发器设计一个 110100序列信号发生器
5、检查电路的自启动能力
000
001
010
101 电路是自启动的.
100
011
111
6、得到电路图 (略)
110
12
3.2 用计数器和多路复用器器构成序列信号发生器
方法: 1)如果序列长度为 L ,则将计数器接成 L 进制的计数
器:“n1— n1+L”( 置数法或清零法) 2)将多路复用器的数据输入“ D n1— D n1+L ”接成要
产生序列的信号。 3)将计数器的输出端接到多路复用器的地址输入端。
0100 0010
有效状态
CLOCK
74x194的任何一位Q 输出(如Q0) 都可以实现“100ห้องสมุดไป่ตู้”序列。
Q0 Q1 Q2 Q3
4
2. 用扭环计数器设计“11110000” 序列发生器
CLK Q0
Q1 Q2
Q3
0000
0001
0011
0111 有效圈
1000 1100 1110 1111
5
? 利用扭环计数器构成“11110000”序列发生器
3)再根据状态图画出状态转换表,求出左移时最低位输入的卡 诺图,并求出其表达式。如果有无关项,还要求检察电路的自
启动能力。
4)根据最低位输入表达式,用分立门电路,或者译码器,或者 多路复用器实现反馈输入的组合电路。

序列信号发生器

序列信号发生器

序列信号发⽣器辽宁⼯业⼤学数字系统综合实验课程设计(论⽂)题⽬:序列发⽣器设计院(系):电⼦与信息⼯程学院专业班级:通信091学号:学⽣姓名:指导教师:教师职称:起⽌时间:2011.12.12—2011.12.26课程设计(论⽂)任务及评语⽬录第1章序列信号发⽣器的原理介绍 (1)1.1序列信号发⽣器的原理介绍 (1)1.2 计数器介绍 (1)1.3 数据选择器介绍 (1)第2章序列发⽣器的设计与仿真 (2)2.1实验要求 (2)2.2 利⽤74160及74151芯⽚设计序列发⽣器 (2)2.3设计步骤 (4)2.4设计逻辑图 (5)2.5编译并仿真 (6)第3章实验箱连接图 (6)第4章实验总结 (7)4.1实验设计分析 (7)4.2总结 (7)参考⽂献 (8)第1章序列信号发⽣器的原理介绍1.1序列信号发⽣器的原理介绍在数字电路设计中,有些时候需⽤⼀组⾮常特殊的数字信号。

⼀般情况下我们就将这种特殊的串⾏数字信号叫做序列信号。

⽣成这样的⼀组特定序列信号的电路叫做序列信号发⽣器。

序列信号发⽣器的设计⽅法有多种:(1)使⽤环形计数器设计“1000…0”型序列信号发⽣器;(2)使⽤扭环计数器设计“11…100…0”型序列发⽣器;(3)任意类型的序列发⽣器a.使⽤D触发器设计序列发⽣器b.使⽤计数器和多路复⽤器设计序列发⽣器c.⽤移位寄存器和反馈组合电路(分⽴门电路,译码器,多路复⽤器)设计1.2 计数器介绍在设计数字电路时⽤的⽐较多时序电路可能就是计数器。

它不仅可以⽤于对时钟脉冲计数,也可以⽤于分频、节拍脉冲以及脉冲序列还有就是进⾏数字运算。

总之⽤法⾮常的⼴泛,计数器的种类⾮常繁多。

计数器可以分为同步计数器和异步计数器这样2种。

在同步计数器中,当时钟脉冲输⼊时触发器翻转是同步发⽣的。

然⽽在异步计数器中,触发器的翻转有先后顺序,不是在同⼀时间发⽣的。

另外计数器还有三种。

随着计数脉冲的不断输⼊⽽作增加计数的叫做加法计数器,做减少计数的叫做减法计数器,可以增加也可以减少的叫做可逆计数器。

实验十一、8位DA转换器与信号发生器设计实验

实验十一、8位DA转换器与信号发生器设计实验

实验十一、8位D/A转换器与信号发生器设计实验一、实验目的1.了解DAC0832转换器的工作原理2.掌握51单片机与DAC0832转换器接口电路的设计及编程二、实验设备1.D/A转换模块(DAC0832)2.单片机最小系统模块3.示波器或电压表三、实验要求要求:连接单片机最小系统模块与DAC0832转换器模块,输出一个周期性的阶梯波。

用示波器观察输出波形。

四、实验原理计算机处理的信息为数字量,被控制对象往往是采用一些连续变化的模拟量进行控制,因此计算机输出和被控对象之间必须设置数字/模拟转换,把数字量转换成模拟量,才能把计算机与被控制对象连接起来。

1.DAC0832结构和原理该电路由51单片机及DAC0832电路两部分组成。

其中DAC0832芯片为电流输出方式的转换芯片。

所以它的输出必须接有实现从电流到电压的转换的运放电路。

DAC0832是8位双缓冲D/A转换器。

片内带有数据锁存器,可与微处理器直接接口。

电流输出,建立时间1uS,单电源+5V~+15VVref输入端电压-10V=<Vref<=+10,分辨率8位,功耗20mW。

DIP封装如图11-1所示。

CS WR1 AGND DI3 DI2 DI1 DI0 V REF R fb DGNDVCCI LEWR2XFERDI4DI5DI6DI7 MSBIOUT2IOUT1图22-1图11-1 DAC0832 管脚图管脚定义如表11-1所示。

2.操作原理内部逻辑图如图11-2所示。

图11-2:内部逻辑图操作方法:当-XFER为低电平,-WR2输入负脉冲时,则在-LE2产生正脉冲;-LE2为高电平时,DAC 寄存器的输入与输出寄存器状态一致,-LE2的负跳变,输入寄存器内容存入DAC寄存器。

DAC0832的输出是电流型的。

在控制系统中,通常需要电压信号,电流信号可以通过运算放大器转换为电压信号。

根据对DAC0832的输入锁存器和DAC寄存器的不同的控制方法,DAC0832有如下三种工作方式:(1)单缓冲方式此方式适用于只有一路模拟量输出或几路模拟量非同步输出的情形。

大二电工电子实验问答题分析

大二电工电子实验问答题分析

问答题分析一 28c64编程1利用28C64设计一个8路序列信号发生器,序列码模值均为8,各序列信号的码位值分别为F0=11011010,F1 =10101011,F2=10011101,F3=10101100,F4=11100010,F5=11000100,F6=10100100,F7=11110000。

(要求:必须由EEPROM 的I/O 0输出F0序列,I/O 1输出F1序列,依次类推。

最右端码位为最先输出的低位。

)请将设计数值(数值和地址均用十六进制数表示)填入下表中。

2用28C64B 设计一个4路序列信号发生器时,4路序列码长度均为M=5,各输出序列信号为I/O7=11011,I/O6=10001,I/O5=10110,I/O4=00101,(存储器的I/O7~I/O0为输出端),在编程器上写入数据时,请将所选地址和数据填入以下表格。

I/O 7 I/O 6 I/O 5 I/O 4地址 内容 1 1 1 0 1 0 0 0 0 0 1 1 1 0 1 0 1113用28c64芯片设计3输入4输出的组合逻辑电路,四个输出函数为 F1=∑(0,2,3,4,6) F2=∑(1,2,5,7) F3=∑(2,3,4,7) F4=∑(0,1,6)取低四位三 A/D ,D/A 转换1用D/A 转换器DAC0832实现数模转换。

参考电压Vref=+5V ,数据端A7A6A5A4A3A2A1A0=00110100,外接的运算放大器输出电压是多少?写出计算公式。

nD REF V V 2560-=2用D/A转换器DAC0832实现数模转换时,所加参考电压Vref=-5V,数据端A7A6A5A4A3A2A1A0=10110000,外接的运算放大器输出电压U是多少?写出计算式。

3 倒T型电阻网络DAC(数模转换器)中,数据输入端n=10,若数据输入端D0~D9中,D9=D7=1,其余位均为0,在输出端测得电压U0=3.125V,问该DAC的基准电压(VREF)=? 写出计算过程。

基于单片机的8位DA信号发生器

基于单片机的8位DA信号发生器

目录摘要 (2)1 设计内容与方案 (3)1.1 设计内容 (3)1.2 设计方案 (3)2 系统硬件设计 (3)2.1 D/A转换器 (3)2.2 AT89C51单片机 (4)3 系统程序设计 (5)3.1 程序设计 (5)3.2 程序流程图 (6)4 调试结果 (7)5 总结与体会 (7)参考文献 (8)附录 (9)摘要基于单片机的信号发生器设计,该课题的设计目的是充分运用大学期间所学的专业知识,考察现在正在使用的信号发生器的基本功能,完成一个基本的实际系统的设计全过程。

关键是这个实际系统设计的过程,在整个过程中我可以充分发挥自动化的专业知识。

特别是这个信号发生器的设计中涉及到一个典型的控制过程。

通过单片机控制一个有特殊功能的信号发生芯片,可以产生一系列有规律的幅度和频率可调的波形。

这样一个信号发生装置在控制领域有相当广泛的应用范围。

基于单片机的8位D/A信号发生器1 设计内容与方案1.1 设计内容综合运用所学知识完成基于51单片机的8位D/A信号发生器设计。

要求根据按键选择输出相应的正弦波、三角波和方波等波形,并能用示波器观察输出波形。

通过课程设计巩固和加深扩大所学的理论知识,掌握单片机应用系统的硬件、软件的设计和调试方法,熟悉keil编程并在proteus中进行仿真,根据调试结果,写出设计报告。

1.2 设计方案方案一:直接利用单片机编程产生正弦波、方波、三角波。

优点:简化了用于产生波形的硬件和软件;缺点:编程较复杂,波形失真较大。

方案二:利用单片机控制波形信号发生器产生芯片,通过单片机实现波形的数字控制。

优点:控制简单,波形效果好;缺点:硬件电路复杂。

根据设计要求,运用D/A转换器,加强对仿真软件的熟悉和编程能力的培养,故选择方案一。

2 系统硬件设计本信号发生器系统由AT89C51单片机、D/A转换器TLC5615、显示电路(示波器)组成。

2.1 D/A转换器D/A芯片TLC5615是带有缓冲基准输入(高阻抗)的10位电压输出数模转换器(DAC)。

序列信号发生器课件

序列信号发生器课件

数字电路与系统东南大学信息科学与工程学院第七章常用时序逻辑电路模块及应用寄存器和移位寄存器计数器序列信号发生器◆在数字系统测试和数字信号传输时,会用到一些串行的周期性数字信号,这种串行的周期性数字信号称为序列信号;◆序列信号是在时钟脉冲作用下产生的一串周期性的二进制信号;◆在序列信号的一个周期中,包含的二进制数据位数称为序列长度;◆能产生序列信号的电路称为序列信号发生器;◆序列信号发生器的设计分为两种情况:给定序列信号设计电路;给定序列长度设计电路;给定序列信号设计电路:◆对于给定的序列信号,设计发生器电路一般有两种结构:⏹计数型序列信号发生器;⏹移存型序列信号发生器;(1)计数型序列信号发生器◆计数型序列信号发生器的结构如图:◆计数型序列信号发生器特点是:所产生的序列信号的长度等于计数器的模值,并可根据需要产生一个或多个序列信号;计数型序列信号发生器的设计方法:◆首先构成与序列长度P相同的一个模P计数器;◆选择适当的数据选择器,把要产生的序列按规定的顺序加在数据选择器的数据输入端;◆地址输入端与计数器的输出端适当地连接在一起;◆还可以把输出序列作为计数器的输出,也就是在计数器的基础上增加一个输出函数,输出所需要的序列;例:计数器74161和数据选择器构成一个01100011序列发生器;◆由于序列长度P=8,74161构成模8计数器;◆数据选择器产生序列;◆如图:逻辑图:◆用74161及门电路构成的01010序列信号发生器及状态表◆在这里,Z的输出没有采用最简表达式Q0,是因为如果采用Q0,就会存在100变为101短暂的时刻在输出上出现毛刺(为什么?)(2)移存型序列信号发生器◆移存型序列信号发生器结构如图;◆它是以移位寄存器作为存储器件,移位寄存器的级数n应该满足2n大于等于序列长度;例:用移位寄存器构成的“00010111”序列信号发生器,该序列是左边0先输出,1最后输出。

◆序列信号长度为8,至少应该使用3位移位寄存器;◆我们把移位寄存器的工作状态列出来:序列发生器的状态转移表:例:用移位寄存器构成的“000101”序列信号发生器;◆给定的序列长度为6,因此,移位寄存器的位数应该大于等于3;◆如果选3,列状态转移表如右图所示:◆可以看出,当状态为010时,有两种转移:101和100;◆因此,必须增加移位寄存器的位数,取4;◆状态转移为:◆状态转移表◆逻辑图已知序列长度设计序列信号发生器:◆M序列码发生器是一种反馈移位型结构的电路,它由n位移位寄存器加异或反馈网络组成,其序列长度M=2n-1;◆只有一个多余状态,即全0状态,所以称为最长线性序列码发生器;◆由于其结构已定型,且反馈函数和连接形式都有一定的规律,因此利用查表的方式就可以设计出M序列码发生器电路;◆部分M序列码的反馈函数F和移位寄存器位数n的对应见下页表;◆给定一个序列信号长度M,根据M=2n-1求出n;相应的反馈函数F;◆M序列的反馈函数表:例:采用双向移位寄存器74194设计产生M=7的M序列码◆根据M=2n-1,确定n=3;◆再查表可得反馈函数F=Q2⊕Q3;◆在74194中是Q1⊕Q2逻辑图为:逻辑图修改为:7.3 序列信号发生器②利用全0 状态重新置数,从而实现自启动:◆设计M序列码发生器的关键在于查表获得反馈函数,在设计的时候需要注意全0项的处理;◆加全0 校正项和利用全0 状态置数可以保证电路的自启动性。

8位DA信号发生器

8位DA信号发生器

电子系统设计实验设计报告题目:8位D/A信号发生器专业班级:电信06-3 班姓名:学号:摘要:在科学研究和生产实践中,如过程控制,生物医学,地震模拟,机械震动等领域常常需要低频信号源。

电子领域也常用到信号发生器,它长期以来都是模拟电路构成的。

本设计详细介绍了基于单片机的多路信号发生器构造及其原理。

在本次设计中,我们利用单片机采用程序设计方法产生锯齿波、正弦波、矩形波三种波形,通过键盘来控制三种波形的类型选择、幅值变化、频率变化,并通过LED数码管显示器显示其各自的类型以及数值,再通过D/A转换器将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,另附加时钟功能。

系统大致包括信号发生部分、数/模转换部分以及LED显示部分三部分,其中尤其对数/模转换部分和波形产生和变化部分进行详细论述。

关键词:函数信号发生器;单片机;键盘;D/A转换器1 作品简介本系统是基于AT89S52 单片机控制的信号发生器,可输出频率为1~1000Hz 的矩形波、三角波、锯齿波和正弦波四种波形信号,输出的各种波形信号,均由软件产生各种数据再经过D / A 转换后输出,通过I/ V 转换电路得到锯齿波和正弦波信号,波形保证了它的精度和平滑、稳定。

还可以对其极性调节。

但是本系统输出波形的频率有限以及幅度不可调节的缺点。

其具体使用方法如下:本系统设置5个按键通过程序控赵来完成爵氛波形调整和复检,具体操作方法如下:·八键控制输出的波形信号;( 1 为正弦波,2 为三角波,3 为方波)·B 键调整频率,每按一次,频率加10;·C 键调整频率,每按一次,频率减10;·D 键显示频率,每按一次,显示当前输出频率值;·E 键为复位按键,按下后,系统回到初始状态。

2 方案选择与论证信号发生器的制作方法有很多种,其不同的制作方案中,齐自有其优点和缺点,在此,我只对其中三种方案进行一些初步比较.方案一:信号发生器可以由集成运放设计RC 桥式正弦拨振荡器产牛频率与辐位都可以达到一定要求的正弦波。

寄存器构成的序列发生器

寄存器构成的序列发生器

二、移位寄存器
二、移位寄存器
二、移位寄存器
三、移位寄存器构成的序列信号发生器
1、移位寄存器:具有移位特性的寄存器,下图是 由D触发器构成的4位移位寄存器。
2、D触发器
D触发器的两个输出中,Q 表示触发器接收输入信号 前的状态,Q ´表示触发器接收输入信号后的状态,D触发 器的特点是输出始终与输入保持一致,它能够接收、保存 和输出信号。
内部结构
特性表
3、用带反馈的移位寄存器构成8位序列信号发生

D0
4、用带பைடு நூலகம்馈的移位寄存器构成序列信号发生器
5、用带负反馈的移位寄存器构成序列信号发生器
二、移位寄存器
1、移位寄存器:移位寄存器的每一位是由触发器组成 的,但由于它需要有移位功能,所以每位触发器的输 出端与下一位触发器的数据输入端相连接,所有触发 器公用一个时钟脉冲,使它们同步工作。但移位寄存 器的次态受移位功能的限制,因为寄存器中的触发器 只能存储1位二进制数,0或1,所以移位寄存器的次态 只能有两种情况。例如,原态为1011 ,当它右移一位 时,若移进的为1,则次态为1101;若移进的为0,次 态则为0101。
移位寄存器构成的8位序列信号发生器
胡延伟
一、序列信号发生器定义
1、序列信号:在数字信号的传输和数字系统的测试 中,有时需要用到一组特定的串行数字信号,通常 把这种串行数字信号叫做序列信号。能够循环地产 生序列信号的电路称为序列信号发生器。
2、序列的长度:序列信号有多少位,就称序列长度 为多少。 例如:序列为10010111,则序列长度为8。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验八序列信号发生器
院系:信息科学与技术学院
专业:电子信息工程
姓名:刘晓旭
学号:2011117147
一. 实验目的
1熟悉序列信号发生器的工作原理 2.学习序列信号发生器的设计方法
二.实验器材
74LS160 四位十进制加法计数器 74LS00 与非门
74LS152 8选1数据选择器 发光二极管若干
二. 实验内容
1设计一个七位巴克码(0100111)的产生电路,画出电路的时序图。

分析:
(1)先设计计数器,由于序列长度是7,所以可以选择74LS160设计一个7进制计数器。

现采用置零法,如图1,其输出的有效状态时 Q C Q B Q A =000~110 (2)然后设计组合输出电路。

令计数器计数过程中的每一状态的输出符合给定序列要求,采用8选1数据选择器实现逻辑函数,将计数器的输出Q C Q B Q A 数据选择器的地址输入端A 2A 1A 0.其中八进制计数器取自74LS160的第三位,8选1数据选择器采用74LS251.当选择器用Y ’输出时,其输入端D 0=D 2=D 3=0,D 1=D 4=D 5=D 6=1.当Y 输出时各数据取反。

当CP 脉冲连续不断的加到计数器上,Q C Q B Q A 的状态(即74LS251的地址输入代码),按照图0所示的顺序循环,对应的输出将是D 0~D 6的状态分别取反后一次送出。

图0
那么,输出序列信号与计数器的对映关系式:
Y ’ = 0——1——0——0——1——1——1 Q C Q B Q A = S 0------S 1-----S 2------S 3------S 4------S 5-----S 6
电路如图1所示:
图1
波形如图2所示:
图2
2、设计检测巴克码的时序电路,功能描述如下:
(1)输入为一串信号;
(2)当输入序列中出现七位巴克码信号时,在七位巴克码信号的最后一个码源的位置上,输入出一个码源宽度的1,否则输出逻辑0。

分析:
本题的目标是建立一个串行输入,并行输出并比较的电路。

连接7个D寄存器,再串行输入七位信号,每个D寄存器的信号分别
连一路一位比较器,与预置的巴克码数进行比较,如果比较的每一位
都相等,则将两片比较器的输出:A=B连一或门输出的即为最后一个
码源的信号。

电路如图3:
图3
3、设计灯光控制逻辑电路,要求红、绿、黄三种颜色的灯在时钟信号作用下按
,0代表“灭”。

表1
有真值表写出R,Y,G的逻辑表达式。

并化成与数据选择器相对应的形式
R=Q
2(Q
1
’Q
’)+Q
2
’(Q
1
’Q
)+0(Q
1
Q
’)+Q
2
(Q
1
Q
)
Y=Q
2(Q
1
’Q
’)+0(Q
1
’Q
)+1(Q
1
Q
’)+0(Q
1
Q
)
G=Q
2(Q
1
’Q
’)+Q
2
(Q
1
’Q
)+0(Q
1
Q
’)+Q
2
(Q
1
Q
)
电路如图4所示:
图4
当到达第四个时序脉冲时,电路灯全亮。

如图5所示:
图5。

相关文档
最新文档