实验4 LED数码管静态显示电路的设计与仿真

合集下载

数码管静态显示实训报告

数码管静态显示实训报告

一、实训目的通过本次实训,掌握数码管静态显示的原理和方法,熟悉51单片机的编程技巧,以及如何利用单片机控制数码管显示特定的数字和字符。

同时,了解数码管在电子显示中的应用及其驱动电路的设计。

二、实训器材1. 51单片机开发板2. 数码管(共阴极或共阳极)3. 电阻4. 译码器(如74HC138)5. 驱动器(如74HC245)6. 连接线7. 编程软件(如Keil)8. 仿真软件(如Proteus)三、实训原理数码管静态显示是指每个数码管的每个段都由单片机的I/O口直接驱动。

当某个段需要显示时,相应的I/O口输出高电平或低电平,控制该段LED的亮灭。

共阴极数码管的段码共用一个电源的负极,高电平点亮;共阳极数码管的段码共用一个电源的正极,低电平点亮。

在本次实训中,我们使用51单片机的P0口输出段码数据,P2口输出位选信号,通过译码器和驱动器实现对数码管的驱动。

四、实训步骤1. 硬件连接(1)将数码管按照共阴极或共阳极的接法连接到单片机的P0口。

(2)将译码器的输入端连接到单片机的P2口。

(3)将驱动器的输入端连接到译码器的输出端。

(4)将驱动器的输出端连接到数码管的位选端。

2. 软件编写(1)定义数码管的字形码数组,存储0~9数字的字形码。

(2)编写主函数,通过循环遍历字形码数组,控制数码管显示相应的数字。

(3)编写延时函数,实现数字显示的间隔。

3. 仿真测试(1)使用Proteus软件搭建仿真电路。

(2)编写Keil软件中的程序,并编译生成HEX文件。

(3)将HEX文件下载到51单片机中。

(4)运行仿真程序,观察数码管显示的数字是否正确。

五、实训结果经过实训,我们成功实现了数码管静态显示功能。

数码管能够按照程序设置的方式,依次循环显示0~9十个数字。

六、实训心得1. 通过本次实训,我们了解了数码管静态显示的原理和实现方法,掌握了51单片机的编程技巧。

2. 在实训过程中,我们学会了如何使用译码器和驱动器扩展单片机的I/O口功能。

数电实验报告数码管显示控制电路设计

数电实验报告数码管显示控制电路设计

数电实验报告数码管显示控制电路设计实验目的:设计一个数码管显示控制电路,实现对数码管的显示控制。

实验器材:数码管、集成电路、电阻、开关、电源等。

实验原理:数码管是一种用它们来显示数字和字母的一种装置。

它由几个独立的发光二极管组成,每个数字由不同的发光二极管的组合表示。

对数码管的显示控制通常使用多路复用技术实现,即通过控制数码管的分段和共阴极或共阳极来实现不同数字的显示。

实验步骤:1.确定数码管的类型和接线方式。

本实验中使用共阳数码管,数码管共阳极通过电阻连接到正极电源。

2.选取适当的集成电路作为显示控制电路。

本实验中选择CD4511作为显示控制芯片,它可以实现对4位共阳数码管的显示控制。

3.连接电路。

将4位共阳数码管的阳极分别连接到CD4511芯片的A、B、C和D端口,共阴极连接到电源正极。

将CD4511芯片的输入端口IN1、IN2、IN3和IN4连接到微控制器的输出端口,控制微控制器输出的电平来选通不同的数码管。

4.设置微控制器的输出。

通过编程或手动设置微控制器的输出端口来控制数字的显示。

根据需要显示的数字,将相应的输出端口设置为高电平,其余端口设置为低电平。

通过适当的延时控制,便可以实现数字的连续显示。

实验结果与分析:经过上述步骤完成电路搭建后,我们可以通过改变微控制器的输出端口来控制数码管的显示。

当我们设置不同的输出端口为高电平时,相应的数码管会显示对应的数字。

通过适当的延时控制,我们可以实现数字的连续显示,从而实现对数码管的显示控制。

实验结论:通过本次实验,我们成功地设计并实现了一个数码管显示控制电路。

通过对微控制器输出端口的控制,我们可以实现对数码管的数字显示控制。

这对于数字显示系统的设计和开发具有重要意义。

实验心得:通过本次实验,我对数码管的显示控制有了更深入的了解。

数码管作为一种常见的数字显示装置,广泛应用于各种电子设备中。

掌握其显示控制原理和方法对于电子技术爱好者来说至关重要。

通过实际操作,我对数码管显示控制电路的设计和实现有了更深入的认识,同时也提高了我对数字显示系统的理解和设计能力。

单片机静态数码管实验报告

单片机静态数码管实验报告

单片机静态数码管实验报告一、引言静态数码管是一种常用的显示器件,广泛应用于各种仪器仪表、计时器、计数器等场合。

本实验旨在通过单片机控制静态数码管,实现数字的显示功能。

二、实验原理静态数码管由若干个发光二极管组成,每个发光二极管代表一个数字。

通过控制每个发光二极管的亮灭,可以显示不同的数字。

单片机通过控制数码管的共阳极或共阴极,以及发光二极管的亮灭,实现数字的显示。

三、实验器材1. 单片机开发板2. 静态数码管3. 连接线四、实验步骤1. 连接电路:将静态数码管的共阳极或共阴极与单片机开发板相应的IO口连接。

2. 编写程序:使用C语言编写程序,通过控制IO口的高低电平控制数码管的亮灭,实现数字的显示。

3. 烧录程序:将编写好的程序烧录到单片机开发板中。

4. 调试程序:通过调试程序,观察数码管是否能正常显示数字。

5. 结果分析:根据实验结果,分析程序的正确性及数码管显示的准确性。

6. 实验总结:总结实验过程中的问题及解决方法,并对实验结果进行分析和评价。

五、实验结果经过实验,我们成功地通过单片机控制静态数码管,实现了数字的显示。

数码管能够根据程序的控制,显示出不同的数字,显示效果良好,准确度高。

六、实验分析通过本实验,我们掌握了单片机控制静态数码管的方法和技巧。

在实验过程中,我们发现控制数码管显示数字的关键在于正确地控制IO口的高低电平。

同时,我们还发现静态数码管显示数字的亮度和清晰度与电源电压和电流的稳定性有关,需要合理选择电源参数。

七、实验应用静态数码管广泛应用于各种仪器仪表、计时器、计数器等场合。

通过单片机控制静态数码管,可以实现各种数字的显示功能,满足不同场合的需求。

八、实验总结通过本实验,我们深入了解了单片机控制静态数码管的原理和方法。

通过编写程序和调试程序,我们成功地实现了数字的显示功能。

实验过程中,我们遇到了一些问题,但通过不断的调试和尝试,最终解决了问题。

通过本次实验,我们不仅加深了对单片机原理的理解,还提升了实际操作和问题解决的能力。

led数码管显示控制实验报告

led数码管显示控制实验报告

led数码管显示控制实验报告篇一:单片机实验报告——LED数码管显示实验《微机实验》报告LED数码管显示实验指导教师:专业班级:姓名:学号:联系方式:一、任务要求实验目的:理解LED七段数码管的显示控制原理,掌握数码管与MCU的接口技术,能够编写数码管显示驱动程序;熟悉接口程序调试方法。

实验内容:利用C8051F310单片机控制数码管显示器基本要求:利用末位数码管循环显示数字0-9,显示切换频率为1Hz。

提高要求:在4位数码管显示器上依次显示当天时期和时间,显示格式如下:yyyy(月份.日)(小时.分钟)思考题:数码管采用动态驱动方式时刷新频率应如何选择?为什么?二、设计思路C8051F310单片机片上晶振为,采用8分频后为,输入时钟信号采用48个机器周期。

0到9对应的断码为:FCH、60H、DAH、F2H、66H、B6H、BEH、E0H、FEH、F6H 基础部分:由于只需要用末位数码管显示,不需要改变位码,所以只需要采用LED的静态显示。

采用查表的方法,通过循环结构,每次循环查找数据表下一地址,循环十次后重新开始循环。

每次循环延时1s,采用定时器0定时方式1。

提高部分:四个数码管都要显示,所以采用LED的动态显示。

由于数码管的位选由、控制,P0端口的其他引脚都没用到,所以对P0端口初始化赋00H,每次循环加40H、选中下一位,四次后十六进制溢出,P0端口变又为00H回到第一个数码管。

每位数码管显示一个段码后都延时1ms(否则数码管太亮,刺眼)采用定时器0定时方式1,依然采用查表法改变段码值。

通过循环:DJNZ R5,BACKMOVR5,#250 DJNZ R4,BACK MOVR4,#8来控制每种模式的切换时间,我采用2s切换一次(8*250*1ms=2s)。

切换模式,可以采用改变查表法的偏移量来实现,没切换一次模式,偏移量加04H,三次后回到初始偏移量,来实现三种模式的循环显示。

三、资源分配基础部分:、:控制数码管的位选P1:控制数码管段码的显示R0:控制段选提高部分:、:控制数码管的位选P1:控制数码管段码的显示R0:控制位选R1:控制段选R3:用于改变偏移量来切换模式R4、R5:控制循环次数,控制模式切换时间四、流程图基础部分:提高部分篇二:实验八数码管LED实验报告苏州大学实验报告院、系年级专业姓名学号课程名称成绩指导教师同组实验者实验日期实验名称:数码管LED实验一.实验目的理解8段数码管的基本原理,理解8段数码管的显示和编程方法,理解4连排共阴极8段数码管LG5641AH与MCU 的接线图。

实验四 数码管显示控制

实验四 数码管显示控制

实验四数码管显示控制一、实验目的1、熟悉Keil uVision2软件的使用;2、掌握LED数码管显示接口技术;3、理解单片机定时器、中断技术。

二、实验设备及仪器Keil μVision2软件;单片机开发板;PC机一台三、实验原理及内容1、开发板上使用的LED 数码管是四位八段共阴数码管(将公共端COM接地GND),其内部结构原理图,如图4.1所示。

图4.1共阴四位八段LED数码管的原理图图4.1表明共阴四位八段数码管的“位选端”低电平有效,“段选端”高电平有效,即当数码管的位为低电平,且数码管的段为高电平时,相应的段才会被点亮。

实验开发板中LED数码管模块的电路原理图,如图4.2所示。

SP1a~hP0.4~P0.7SP2P0.0~P0.3图4.2 LED数码管模块电路原理图图中,当P1.0“段控制”有效时,P0.0~P0.7分别对应到数码管的a~h段。

当P1.1“位控制”有效时,P0.0~P0.7分别对应到DIG1~DIG8。

训练内容一:轮流点亮数码管来检测数码管是否正常。

参考程序:ORG 00HAJMP MAINMAIN:SETB P1.2;LED流水灯模块锁存器的控制位MOV P0,#0FFH;关闭LED灯CLR P1.2SETB P1.3 ;点阵模块的行控制锁存器MOV P0,#0 ;关闭点阵行CLR P1.3MOV A,#11111110B;数码管“位选信号”初值,低电平有效LOOP:SETB P1.1;数码管位控制锁存器有效MOV P0,ACLR P1.1RL A ;形成新的“位选信号”,为选择下一位数码管做准备SETB P1.0;数码管段控制锁存器有效MOV P0,#0FFH ;数码管的所有段点亮,显示“8”CLR P1.0CALL DELAYSJMP LOOPDELAY:MOV R5,#0;延时子程序D1: MOV R6,#0D2:NOPDJNZ R6,D2DJNZ R5,D1RETEND训练内容二:静态显示,0~9计数。

静态数码管显示(可编程)

静态数码管显示(可编程)

电子信息与自动化学院《可编程逻辑器件》实验报告学号:姓名:实验名称:数码管静态显示一、实验原理七段数码管是电子开发过程中常用的输出显示设备。

在实验系统中使用的是四个共阳极型七段数码管。

由于七段数码管公共端连接到VCC(共阳极型),当送入一次字形码后,显示字形可一直保持,直到送入新字形码为止。

图1数码管原理图图2程序设计大致流程图二、实验步骤1、打开QuartusII软件,新建一个工程然后创建一个Verilog File,打开VHDL编辑对话框进行编程;2、主要程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity JINGTAI isport (clk:in std_logic;x: out std_logic_vector(6 downto 0);y: out std_logic_vector(3 downto 0));end JINGTAI;architecture behave of JINGTAI issignal number :integer range 50000000 downto 0;signal count: std_logic_vector (1 downto 0);signal key: std_logic_vector (3 downto 0);beginy<="0000";PROCESS(clk)begin if clk'event and clk='1' then if number<50000000 thennumber <= number+1;else number <= 0;key <= key +1;end if;end if;end process;process(key)begincase key iswhen "0000" => x <= "1000000" ;when "0001" => x <= "1111001" ;when "0010" => x <= "0100100" ;when "0011" => x <= "0110000" ;when "0100" => x <= "0011001" ;when "0101" => x <= "0010010" ;when "0110" => x <= "0000010" ;when "0111" => x <= "1111000" ;when others => null;end case;end process;end behave;3、引脚锁定图3 引脚锁定三、实验结果仿真图如下:图4时序仿真图由以上可知,实验结果符合要求,则设计成功。

实验四 数码管静态显示

实验四 数码管静态显示

实验四数码管静态显示一、实验目的1.熟练掌握单片机定时器的原理和应用方法。

2.了解数码管的原理,掌握数码管的真值表的计算方法。

二、实验内容通过对单片机编程来实现数码管静态显示。

三、实验知识点3.1定时器的初步认识时钟周期:时钟周期T是时序中最小的时间单位具体计算的方法就是1/时钟源,我们KST-51单片机开发板上用的晶振是11.0592M,那么对于我们这个单片机系统来说,时钟周期=1/11059200秒。

机器周期:我们的单片机完成一个操作的最短时间。

机器周期主要针对汇编语言而言,在汇编语言下程序的每一条语句执行所使用的时间都是机器周期的整数倍,而且语句占用的时间是可以计算出来的,而C语言一条语句的时间是不可计算的。

51单片机系列,在其标准架构下一个机器周期是12个时钟周期,也就是12/11059200秒。

定时器和计数器。

定时器和计数器是单片机内部的同一个模块,通过配置SFR(特殊功能寄存器)可以实现两种不同的功能。

顾名思义,定时器就是用来进行定时的。

定时器内部有一个寄存器,我们让它开始计数后,这个寄存器的值每经过一个机器周期就会加1一次,因此,我们可以把机器周期理解为定时器的计数周期。

我们的秒表,每经过一秒,数字加1,而这个定时器就是每过一个机器周期的时间,也就是12/11059200秒,数字加1。

3.2 定时器的寄存器描述标准的51里边只有定时器0和定时器1这两个定时器,现在很多单片机也有多个定时器的,在这里我们先讲定时器0和1。

那么我前边提到过,对于单片机的每一个功能模块,都是由他的SFR,也就是特殊功能寄存器来控制。

而和定时器有关的特殊功能寄存器,有TCON和TMOD,定时值存储寄存器。

a)定时值存储寄存器表4-1中的寄存器,是存储计数器的计数值的,TH0/TL0用于T0, TH1/TL1用于T1。

表4-1 定时值存储寄存器表4-2 TCON--定时器/计数器控制寄存器的位分配(地址:88H)表4-3 TCON--定时器/计数器控制寄存器的位描述表4-2中有TF1、TR1、TF0、TR0这4位需要我们理解清楚。

实验四 七段数码管显示实验报告

实验四 七段数码管显示实验报告

实验四七段数码管显示实验一、实验目的掌握数码管显示数字的原理。

二、实验内容1.静态显示:数码管为共阴极,通过BCD码译码驱动器CD4511驱动,其输入端A~D输入4位BCD码,位码输入低电平选中。

按图4-1连接好电路,将8255的A口PA0~PA3与七段数码管LED1的BCD码驱动输入端A1~D1相连,8255的A口PA4~PA7与七段数码管LED2的BCD码驱动输入端A2~D2相连,8255的B口PB0~PB3与七段数码管LED3的BCD码驱动输入端A3~D3相连,8255的B口PB4~PB7与七段数码管LED4的BCD码驱动输入端A4~D4相连,8255的C口PC0~PC3分别与七段数码管LED4~LED4的位驱动输入端DG1~DG4相连。

编程从键盘上每输入4个0~9数字,在七段数码管LED4~LED4上依次显示出来。

图4-12.动态显示:数码管为共阴极,段码采用相同驱动,输入端加高电平,选中的数码管对应段点亮,位码采用同相驱动,位码输入端低电平选中,按图4-2连接好电路,图中只画了2个数码管,实际是8个数码管,将8255的A口PA0~PA7分别与七段数码管的段码驱动输入端a~g相连(32TCI0模块上的J1连32LED8模块J2),8255的C口的PC0~PC7接七段数码管的段码驱动输入(32TCI0模块上的J3连32LED8模块J1),跳线器K1连2和3。

编程在8个数码管上显示“12345678”。

按任意键推出运行。

图4-2三、编程提示1.由于DVCC卡使用PCI总线,所以分配的IO地址每台微机可能都不用,编程时需要了解当前的微机使用那段IO地址并进行处理。

2.对实验内容1,七段数码管字型代码与输入的关系如下表:四、参考流程图1.实验内容一的参考流程图图4-3 2.实验内容二的参考流程图图4-4五、参考程序1.内容一的参考程序源程序清单如下:data segmentioport equ 0c400h-0280hio8255a equ ioport+288hio8255b equ ioport+289hio8255c equ ioport+28ahio8255k equ ioport+28bhled db 3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fhmesg1 db 0dh,0ah,'Input a num (0--9),other key is exit:',0dh,0ah,'$'bz db ?cz db 04hdata endscode segmentassume cs:code,ds:datastart: mov ax,datamov ds,axmov dx,io8255k ;使8255的A口为输出方式mov ax,80hout dx,alsss0: mov si,offset bzmov cx,04hsss1: mov dx,offset mesg1 ;显示提示信息mov ah,09hint 21hmov ah,01 ;从键盘接收字符int 21hcmp al,'0' ;是否小于0jl exit ;若是则退出cmp al,'9' ;是否大于9jg exit ;若是则退出sub al,30h ;将所得字符的ASCII码减30Hmov [si],al ;存入显示缓冲区inc si ;显示缓冲区指针加1dec cx ;判断输入满4个数字吗?jnz sss1 ;不满继续mov si,offset bz ;从显示缓冲区取第一个数字的BCD 码mov al,[si]and al,0fh ;屏蔽高四位暂存ALinc si ;显示缓冲区指针加1mov ah,[si] ;取第二个数字的BCD码到AHsal ah,4h ;右移4次到高四位add al,ah ;两个BCD码合并成一个字节mov bl,al ;暂存入BLinc simov al,[si] ;取第三个数字的BCD码and al,0fhinc simov ah,[si] ;取第四个数字的BCD码到AHsal ah,4hadd ah,almov al,ahmov dx,io8255a ;从8255的A口输出(后两个数字)out dx,almov al,blmov dx,io8255b ;从8255的B口输出(前两个数字)out dx,almov al,0f0hmov dx,io8255c ;从8255的C口输出位码out dx,almov dl,0ffhmov ah,06int 21hje sss0 ;有键按下则退出exit: mov ah,4ch ;返回int 21hcode endsend start2.内容二的参考程序源程序清单如下:data segmentioport equ 0C400h-0280hio8255c equ ioport+28ahio8255k equ ioport+28bhio8255a equ ioport+288hled db 3fh,06h,5bh,4fh,66h,6dh,7dh,07h,7fh,6fh ;段码buffer1 db 01h,02h,03h,04h,05h,06h,07h,08h ;存放要显示的十位和个位con db ? ;位码data endscode segmentassume cs:code, ds:datastart: mov ax,datamov ds,axmov dx,io8255k ;将8255设为A口C口输出mov al,80hout dx,alloop2: mov al,08h ;设置数码管位计数器初值到CON mov byte ptr con,almov si,offset buffer1 ;置显示缓冲器指针SImov ah,7fh ;置位码初值disp0: mov cx,0ffffhmov bl,ds:[si] ;取显示缓冲区显示值存BXmov bh,0hpush simov dx,io8255c ;位码从C口输出mov al,ahout dx,almov dx,io8255amov si,offset led ;置led数码表偏移地址为SIadd si,bx ;求出对应的led数码mov al,byte ptr [si]out dx,al ;段码从A口输出disp1: loop disp1 ;延时mov cx,0ffffhdisp2: loop disp2ror ah,01h ;位码右移1位pop siinc si ;显示缓冲区指针加1mov al,byte ptr condec almov byte ptr con,aljnz disp0 ;数码管位计数器减1为0吗?,不为0继续mov dx,io8255a ;为0,关数码管显示mov al,0out dx,almov dl,0ffhmov ah,06int 21hje loop2 ;有键按下则退出mov ah,4ch ;返回int 21hcode endsend start实验总结:通过这次试验,我了解到自定义数据类型可以根据自己的需要方便设定,有很大的灵活性。

数码管静态显示实验,单片机实验报告

数码管静态显示实验,单片机实验报告

数码管静态显示实验,单片机实验报告数码管静态显示实验一.实验目的 1.熟悉数码管的功能和使用。

2.熟悉延时子程序的编写和使用。

3.初步熟悉单片机软硬件设计方法。

二.实验仪器计算机、Keil 编程环境、普中下载软件、单片机开发实验仪。

三.实验原理与内容P0 口做输出口,接一个共阳极数码管,要求循环显示。

共阳极数码管字形表(0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F,-共17 个字形码)0C0H,0FCH,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H,88H,83H,0C6H,0 A1H,86H,8EH,0BFH。

四 . 实验线路及原理五 . 注意事项1.安装实验仪时,先接通讯串口线,再开电源开关。

2.实验过程中,在进行接插线操作时,必须先关闭电源。

六 . 实验步骤1、主机连线说明:JP10 单片机0 P0 口(8 8 位)JP3 共阳极数码管七 . 实验步骤2.打开 Keil 编程软件编写程序,并进行汇编产生HEX 文件。

(1)流程图(2)汇编源程序ORG 00H LJMP MAIN ;初始位置直接跳转MAIN 主程序START; MOV R2,#0 ;赋值R2=0 MOV R5,#17;赋值 R5=17 MAIN: MOV DPTR,#TAB;将 TAB 地址传送给数据指针MOV A,R2 ;赋值累加器 A=0 MOVC A,@A+DPTR;将数组第 A+1 的数据赋值 A MOV P0,A ;赋值 P0 数据的数据INC R2 ;R2 加一LCALL DELAY ;调用延时子程序DJNZ R5,MAIN ;R5 减一不为0 跳转主程序MAIN JMP START ;跳转 START RET DELAY: MOV R0,#5 ;延时子程序DL2: MOV R7,#200 DL1: MOV R6,#250DJNZ R6,$ DJNZ R7,DL1 DJNZ R0,DL2 TAB:DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H,88H,83H,0C6H,0 A1H,86H,8EH, END (1) C 语言源程序#include #define uint unsigned int Uint table [ ]= (0xC0,0xF9, 0xA4, 0xB0, 0x99, 0x92, 0x82, 0xF8, 0x80, 0x90, 0x88, 0x83, 0xC6, 0xA1, 0x86, 0x8E, 0xBF )Void delay (int z) { int x,y; for (x=z;x>0;x--) for (y=100;y>0;i++) } Void main ( ) {int i ;While (1) {for (i=0;i<17;i++) { P0=table [i]; delay (1000) // 延时 } } } 3.点击普中下载软件,检查设置是否正确,然后下载到实验仪的单片机中。

单片机led数码管的静态控制显示方式实验报告

单片机led数码管的静态控制显示方式实验报告

单片机led数码管的静态控制显示方式实验报告开发环境:
本实验使用的是Keil uV5,MCU为STC89C52RC,和四位数码管模块。

实验目的:
本次实验是静态控制数码管显示,目的是使用单片机控制四位数码管上显示一个数字。

实验准备:
硬件:STC89C52RC单片机模块,4位数码管模块;
软件:Keil uV51.3 + STC-ISP软件;
实验原理:
单片机控制数码管显示,需要使用三个管脚控制,分别为A,B,C,当A为高电平时,B和C同时为低电平时,这时显示第一个数字;当B为高电平时,A和C同时为低电平时,这时显示第二个数字;当C为高电平时,A和B同时为低电平时,这时显示第三个数字。

实验步骤:
1. 设计电路:确定STC89C52RC控制四位数码管控制连接。

2. 安装软件:安装Keil uV5以及STC-ISP软件。

3. 编程:根据原理进行程序编写,编写完整的显示代码,实现任意数字的显示。

4. 烧录:将编写的程序通过STC-ISP软件烧录,然后重新启动单片机。

5. 测试:当烧写完成,四位数码管显示正确且稳定时,表示实验测试成功。

实验结果:
实验成功,STC89C52RC控制四位数码管显示正确且稳定。

实验结论:
实验证明,基于STC89C52RC芯片,通过编写程序,可以实现不同数字或字母在四位
数码管上的显示,达到定量和定性的要求。

数码管显示电路实训报告

数码管显示电路实训报告

一、实训目的1. 掌握数码管的结构、工作原理及驱动方式;2. 学会使用动态扫描法驱动数码管;3. 熟悉常用数字电路元件及电路设计方法;4. 培养动手实践能力和团队合作精神。

二、实训器材1. 单片机实验箱2. 数码管(共阳极、共阴极各1个)3. 电阻、电容、二极管、三极管等数字电路元件4. 连接线、电源、示波器等辅助设备三、实训内容1. 数码管识别与测试2. 数码管静态显示电路设计3. 数码管动态显示电路设计4. 数码管显示电路应用实例四、实训步骤1. 数码管识别与测试(1)观察数码管外观,了解其结构特点,如共阳极、共阴极等;(2)使用示波器测试数码管各段位引脚的电压,判断其工作状态;(3)根据测试结果,确定数码管的驱动方式(静态或动态)。

2. 数码管静态显示电路设计(1)设计电路原理图,选择合适的驱动电路;(2)计算电阻、电容等元件参数,绘制元件布局图;(3)焊接电路,检查电路连接是否正确;(4)编写程序,实现数码管显示功能;(5)调试电路,观察数码管显示效果。

3. 数码管动态显示电路设计(1)分析动态扫描显示原理,确定扫描频率和占空比;(2)设计动态扫描控制电路,实现多位数码管的动态显示;(3)编写程序,实现动态显示功能;(4)调试电路,观察数码管显示效果。

4. 数码管显示电路应用实例(1)设计一个简单的时钟显示电路,实现时分秒的显示;(2)设计一个温度显示电路,将温度值转换为数码管显示;(3)设计一个电压显示电路,将电压值转换为数码管显示;(4)编写程序,实现上述电路的显示功能;(5)调试电路,观察数码管显示效果。

五、实训总结1. 通过本次实训,掌握了数码管的结构、工作原理及驱动方式;2. 学会了使用动态扫描法驱动数码管,提高了电路设计能力;3. 熟悉了常用数字电路元件及电路设计方法,为后续课程学习奠定了基础;4. 培养了动手实践能力和团队合作精神,提高了综合素质。

六、实训心得1. 在实训过程中,我深刻体会到理论与实践相结合的重要性。

led数码管 静态显示 电路

led数码管 静态显示 电路

LED数码管及其在静态显示电路中的应用1. 介绍LED数码管的基本概念LED数码管是一种由发光二极管(LED)组成的数字显示器件,通常用于显示数字和少量特殊字符。

它们可以在各种设备中被发现,包括数字时钟、仪表盘和计算器等。

LED数码管通常由7段或14段LED组成,每个LED代表显示数字中的一个线条或段。

2. 静态显示电路的基本原理静态显示电路是指在不改变显示内容的情况下,通过给显示器件(如LED数码管)加电来显示一组固定的数字或字符。

在静态显示电路中,LED数码管的每个段由一个控制开关来控制,当控制开关打开时,相应的LED亮起,反之则灭。

3. LED数码管在静态显示电路中的连接方式在静态显示电路中,LED数码管的连接方式通常采用共阳或共阴的方式。

共阳接法是指LED数码管的阳极均接在一起,通过接通对应的阴极来控制显示内容。

而共阴接法则是LED数码管的阴极均接在一起,通过接通对应的阳极来控制显示内容。

4. 静态显示电路中的驱动电路设计静态显示电路需要配合驱动电路来实现稳定的显示效果。

驱动电路通常包括译码器、锁存器和显示数据输入端等部分,通过这些部件能够将外部输入的数字信号转换成LED数码管需要的控制信号,从而实现对LED数码管的静态显示。

5. 对LED数码管静态显示电路的个人理解和观点静态显示电路中LED数码管的应用非常广泛,不仅可以用于数字显示,还可以结合其他传感器等模块来实现更为复杂的功能。

在设计静态显示电路时,需要考虑电路的稳定性、功耗以及显示效果等因素,以确保显示效果的同时也保证电路的可靠性和稳定性。

6. 总结LED数码管在静态显示电路中的应用是一种常见且重要的应用场景。

通过合理的连接方式和驱动电路设计,能够实现稳定、清晰的数字显示效果,为各种电子设备的显示提供了便利和可靠性。

通过这篇文章的撰写,我对LED数码管在静态显示电路中的原理和应用有了更深入的了解。

希望这篇文章也能够帮助读者更好地理解LED数码管及其在静态显示电路中的应用。

数码静态显示实训报告

数码静态显示实训报告

一、实训目的通过本次实训,掌握数码管的基本工作原理,学习如何使用51单片机控制数码管进行静态显示,并了解静态显示的优缺点及在实际应用中的注意事项。

二、实训原理数码管是一种常用的显示器件,由多个发光二极管(LED)组成。

根据连接方式的不同,数码管可分为共阴极和共阳极两种类型。

共阴极数码管的段码共用负极,高电平点亮;共阳极数码管的段码共用正极,低电平点亮。

静态显示是指每个数码管的每个段码都由单片机的I/O口进行驱动,或使用BCD码二-十进位转换器进行驱动。

静态显示的优点是编程简单,显示亮度高,但缺点是占用I/O口多。

三、实训步骤1. 硬件连接:- 将共阴极数码管的段码(a-g、dp)连接到单片机的P0口。

- 将数码管的共阴极连接到单片机的GND。

- 将数码管的正极连接到单片机的VCC。

2. 软件设计:- 编写程序,定义数码管显示数字的字形码。

- 使用循环语句控制数码管依次显示0~9的数字。

- 使用延时函数实现数字显示的切换。

3. 程序代码:```c#include <reg51.h>// 定义数码管字形码,共阴极unsigned char code digit_code[10] = {0x3F, 0x06, 0x5B, 0x4F, 0x66, 0x6D, 0x7D, 0x07, 0x7F, 0x6F};void delay(unsigned int ms) {unsigned int i, j;for (i = ms; i > 0; i--)for (j = 110; j > 0; j--);}void main() {unsigned char i;while (1) {for (i = 0; i < 10; i++) {P0 = digit_code[i]; // 显示数字delay(500); // 延时}}}```4. 测试与调试:- 将程序烧录到单片机中。

- 连接数码管,观察数码管是否能够依次显示0~9的数字。

LED数码管动静态显示

LED数码管动静态显示
单片机原理及应用
内容<一>
1. 如何利用IO端口实现LED数码管静态显示 2. 如何利用IO端口实现LED数码管动态显示
LED数码管静态显示

静态显示的特点是每个数码管必须接一个8位锁 存器来锁存待显示的字形码。送入一次字形码 显示字形一直保持,直到送入新的字形码为止。 这种方法的优点是占用 CPU时间少,显示便于 检测和控制。缺点是硬件电路复杂,成本较高
延时熄灭
动态显示程序设计
显示第一个数:
MAIN: CLR P2.1 CLR P2.2 CLR P2.3 MOV P0,#0A4H LCALL DELAY MOV P0,#0FFH SETB P2.1 SETB P2.2 SETB P2.3
延时程序:
DELAY: MOV R2, #02H DELAY1: ;采用共阳极,灭状态 MOV R3, #02H DJNZ R3, $ DJNZ R2, DELAY1
静态显示程序设计
ORG 0000H LJMP MAIN ORG 0100H MAIN: MOV P1,#0A4H MOV P0,#0C0H MOV P2,#0F9H MOV P3,#99H END
所用数码管型号 为CA,是共阳极, 所以显示字符用 的共阳极段码。 P1,P0,P2,P3口分 别显示2,0,1, 4
LED动态数码管显示电路
段选线并联,接入同一个8位I/O接口; 位选线占用一个4位I/O接口。
内容<二及要求

利用单片机的定时器工作于方式2,方式二可以利用硬件自 动重置初值,但是最长可定时 512us, 编写代码利用 keil 和 proteus软件实现定时功能
;启动T1 ;允许T1中断 ;CPU开放中断 ;T1中断服务程序

课题四 数码管显示

课题四  数码管显示

图4-1 数码管显示实物图
任务一
LED数码管的静态显示
本任务就是将单片机与数码管接成图 4-2所示静态显示方式,编程实现数码管 每隔0.5s的0-9数字显示。
图4-2
数码管显示电路图
一、任务分析
所谓静态显示,就是当单片机某一端口输出 一组显示数据之后,该端口一直保持该数据输出, 维持数码管的显示数字,直到端口数据改变,又 保持显示下一数据的显示方式。 在具体电路连接上,将单片机一个端口的八 个端子接在一只数码管的八个引脚上(h端为小数 点),控制数码管的七段LED的亮或熄,显示器 出数字,这种显示控制方式就是静态显示。静态 显示电路连接特点是单片机端口的每一位与数码 管的一个端相连接,相当于单片机的一个引脚外 接一只发光二极管。
unsigned char dispcount; void delay02s(void) { unsigned char i,j,k; for(i=10;i>0;i--) for(j=200;j>0;j--) for(k=248;k>0;k--);} void main(void) { while(1) {for(dispcount=0;dispcount<8;dispcount++) { P0=tablewe[dispcount]; P1=tabledu[dispcount]; delay02s(); } } }
数码管段码表
表4-1 共阴数码管显示数字的段码表
段名称 dp g f e d c b a 对应段码
对应引脚
数字0 数字1 数字2 数字3 数字4 数字5 数字6 数字7 数字8
P1.7
0 0 0 0 0 0 0 0 0
P1.6
0 0 1 1 1 1 1 0 1

数码管静态显示实验

数码管静态显示实验
实验内容:
1、根据LED数码管连接电路(电路中U1是74LS244作为段码驱动电路;U5和U4是SN75452,与非门,作为位选信号电路),编写实验程序,实现1位数码管的静态显示,要求:内容为0~9循环显示。
2、接线方案:
P10~P17/51单片机 接 a、b…dp/数码管;
P2.0~P2.3/51单片机 接 s1~s4/数码管。
单 片 机实验报告
通信工程系13班(2016年5月19日 )
姓名学号31130
实验题目:数码管静态显示实验
实验目的:
1.掌握8051单片机与七段LED数码管连接的设计方法;
2.掌握LED数码管静态显示的编程方法。
实验仪器:
51单片机、LED数码管
实验原理:
LED数码管静态显示的显示程序简单,显示亮度高,但所需的I/O端口较多,并且功耗较大。所以静态显示常用在显示位数较少的系统中。下表为共阴极LED数码管的段码表
实验步骤:
1.连接串行通信电缆盒电源线;
2.将C51单片机核心板上的三个开关分别拨到“独立”、”运行”“单片机”;
3.打开实验箱上的电源开关。
4.利用KeilC51创建实验程序,并进行编译生产后缀为.HEX的文件;
5.利用STC-ISP软件将后缀为.HEX的文件下载到单片机ROM中;
6.观察实验现象,并记录。若实验现象有误请重复第5、6步。
实验程序:
实验结果:
任课老师评语:
签名:__________
日期_____Leabharlann __月__

数码管的静态显示原理

数码管的静态显示原理

数码管的静态显示原理数码管是一种常用的显示器件,可以用来显示数字、字母和符号。

它由多个发光二极管(LED)组成,通过控制LED的亮灭状态来显示不同的字符。

1.数码管的每个LED是一个发光效果良好的二极管,结构上有阳极和阴极两个引脚。

2. 数码管的每个LED都有一个对应的传导管,用于连接到数码管扫描电路的供电电压源。

这个传导管通常作为阳极(Anode)使用。

3. 数码管的每个LED的阴极(Cathode)通过选择电路与字库电路连接起来。

选择电路可以控制LED是否通电发光。

4.数码管的数字信号可以通过字库电路将数字转换为对应的二进制码,然后通过选择电路控制数码管的每个LED的亮灭状态。

5.数码管一般采用共阳极或共阴极的连接方式,共阳极时,数码管的阳极连接到正电源,阴极通过选择电路来控制。

共阴极时,数码管的阴极连接到负电源,阳极通过选择电路来控制。

具体实现静态显示的步骤如下:1.首先,设置好所要显示的数字或字符。

2.将数字或字符转换为对应的二进制编码,例如使用BCD码(二进制编码的十进制)。

3.通过选择电路将二进制编码应用到数码管的对应引脚上,以控制显示器的亮灭状态。

4.控制选择电路的开关,通过切换引脚的高低电平,实现对应LED的通断,从而显示所需的数字或字符。

具体的步骤可以分为以下几个过程:1.第一步,在一个很短的时间内,选择数码管中的一个数字管,并将其阴极置为低电平,阳极接入所需的信号电压。

2.第二步,根据要显示的数字或字符,根据字库电路将其转换为对应的二进制编码。

3.第三步,通过选择电路将二进制编码应用到数码管对应的引脚上,控制LED的通断状态。

4.第四步,将所选择的数码管阴极置为高电平,关闭其它数码管的选择。

5.第五步,在刷新周期之间,保持数码管的状态,直到下一次刷新周期开始。

6.第六步,重复上述步骤,循环刷新所有数码管,以显示所需的数字或字符。

通过上述过程,数码管的静态显示原理可以实现。

控制选择电路的开关,以周期性地刷新数码管的状态,从而完成多个数码管的显示操作。

(完整版)LED数码管显示实验

(完整版)LED数码管显示实验

® P L 信息工程学院实验报告课程名称:单片机原理及接口 实验项目名称:LED 数码管显示实验 实验时间:2016年3月11 班级:通信141姓名:学号:一、 实验目的:熟悉keil 仿真软件、proteus 仿真软件、软件仿真板的使用 多位LED 数码管的电路结构、与单片机的连接方法及其应用原理。

学习proteus 构建LED 数码管显示电路的方法,掌握C51中单片机控制LED 数码管动态显示的原理与编程方法。

二、 实验设备与器件硬件:微机、单片机仿真器、单片机实验板、连线若干软件:KEIL C51单片机仿真调试软件,proteus 系列仿真调试软件三、 实验原理LED 显示器是由发光二极管显示字段的显示器件。

在单片机应用系统中通常使用的是七 段LED 这种显示器有共阴极与共阳极两种共阴极LED 显示器的发光二极管阴极共地,当某个发光二极管的阳极为高电平时,该发 光二极管则点亮;共阳极LED 显示器的发光二极管阳极并接。

七段LED 数码管与单片机连接时,只要将一个 8位并行输出口与显示器的发光二极管引成绩:日 ___________________指导老师(签名):了解并熟悉一位数码管与1 1 1 1 110 98 7 6/d / * dp 1 2 34 5I' 2 1 11(c)管脚配置(b)共阳极 g f a be d c dp脚相连即可。

8位并行输出口输出不同的字节数据即可获得不同的数字或字符,通常将控制 发光二极管的8位字节数据称为段选码。

多位七段LED 数码管与单片机连接时将所有 LED 的段选线并联在一起,由一个八位I / O 口控制,而位选线分别由相应的I /O 口线控制。

如:8位LED 动态显示电路只需要两个八位 I /O 口。

其中一个控制段选码,另一个控制位选。

由于所有位的段选码皆由一个I /0控制,因此,在每个瞬间,多位LED 只可能显示相同 的字符。

要想每位显示不同的字符,必须采用动态扫描显示方式。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验项目四 LED数码管静态显示电路的设计与仿真
[实验目的]
1.掌握LED数码管编码方法
2.掌握LED数码管静态显示电路的设计
3.掌握对LED数码管静态显示的控制方法
[实验原理]
[实验仪器]
PC机一台
[Proteus用到器件的关键词]
单片机(at89c52)、数码管(7seg-com-cathode)、排阻(respack-7)
[实验内容与步骤]
1.用Proteus软件设计出LED数码管显示电路原理图。

2.由于单片机P0口内部无上拉电阻,故使用时要外接上拉电阻,阻值为10KΩ。

3.用Keil编写程序让第二个数码管从0显示到F,然后再让从0开始显示;每当第二个数码管显示到F后,第一个数码管显示值加1一次,最后调试程序、编译后生成HEX文件。

4.将HEX文件装载到MCU AT89C52中,单击Start按钮开始动态仿真。

[实验数据记录]
;******两位数码管静态显示程序*******;
ORG 0000H
LJMP MAIN
ORG 0050H MAIN: MOV R3,#0
MOV P0,#3FH
LP2: MOV DPTR,#0200H LP1: MOVC A,@A+DPTR
MOV P2,A
LCALL DELAY
MOV R2,A
CLR A
INC DPTR
CJNE R2,#6FH,LP1
INC R3
MOV DPL,R3
MOVC A,@A+DPTR
MOV P0,A
CLR A
CJNE R3,#10,LP2
AJMP MAIN DELAY: MOV R0,#0FFH
DL2: MOV R1,#0FFH
DL1: NOP
NOP
NOP
DJNZ R1,DL1
DJNZ R0,DL2
RET
ORG 0200H
TAB: DB 3FH;0 DB 06H;1 DB 5BH;2 DB 4FH;3 DB 66H;4 DB 6DH;5 DB 7DH;6 DB 07H;7 DB 7FH;8 DB 6FH;9
END
[实验数据处理]
[实验结果及讨论]。

相关文档
最新文档