实验五 数码管动态显示电路设计

合集下载

实例(5)-数码管动态显示

实例(5)-数码管动态显示

2.2、硬件原理图
1
嵌入式学习——51 单片机篇 图4 硬件电路与上一个静态显示实验是完全一样的。
三、程序设计
下面, 我们可以根据实验原理来设计数码管动态显示程序。 首先将实验 4 数码管的驱动 调用至本程序中。在编程时,需要输出段选和位选信号,位选信号选中其中一个数码管,然 后输出段码,使该数码管显示所需要的内容,延时一段时间后,再选中下一位数码管,再输 出对应的段码。这样子就编写出一个子函数 void SMG_Display(unsigned int dat);利用这个 函数实现数四位数码管的一次轮显。函数中每位数码管的点亮时间为 2ms,2ms 结束后马上 熄灭,再轮到下一位数码点亮。函数入口参数为 unsigned int,16 位整形数据,但在调用该 函数输入形参时,限制输入范围是 0~9999,因为我们只有四位数码管。 在主函数里将 num 变量加 1,再输出显示, 这样就可以看到数码管上的数字从 0、 1、 2„ „ 一直加到 9999。最后再变回 0。 实验代码如下: #include "STC12C5A.h"//包含头文件 /***数字编码表 0~9***/ unsigned char const seg[10] = {0xfc,0x60,0xda,0xf2,0x66,0xb6,0xbe,0xe0,0xfe,0xf6}; //段选 unsigned char const position[]={1,2,4,8}; //位选 sbit HC595_SCK = P0^4; sbit HC595_RCK = P0^5; sbit HC595_RST = P0^6; sbit HC595_DAT = P0^7; //us 延时 void delay_us(unsigned int t) { while(t--); } //延时函数(24M 晶振下延时 1ms) void delay_ms(unsigned int time) { unsigned int t; for(;time>0;time--) { t = 1500; while(t--); } }

EDA设计课程实验报告数码管动态显示实验报告

EDA设计课程实验报告数码管动态显示实验报告

EDA设计课程实验报告实验题目:数码管动态显示实验学院名称:专业:电子信息工程班级:姓名:高胜学号小组成员:指导教师:一、实验目的学习动态扫描显示的原理;利用数码管动态扫描显示的原理编写程序,实现自己的学号的显示。

二、设计任务及要求1、在SmartSOPC实验箱上完成数码管动态显示自己学号的后八个数字。

2、放慢扫描速度演示动态显示的原理过程。

三、系统设计1、整体设计方案数码管的八个段a,b,c,d,e,f,g,h(h是小数点)都分别连接到SEG0~SEG7,8个数码管分别由八个选通信号DIG0~DIG7来选择,被选通的数码管显示数据,其余关闭。

如果希望8个数码管显示希望的数据,就必须使得8个选通信号DIG0~DIG7分别被单独选通,并在此同时,在段信号输入口SEG0~SEG7加上该对应数码管上显示的数据,于是随着选通信号的扫描就能实现动态扫描显示的目的。

虽然每次只有1个数码管显示,但只要扫描显示速率足够快,利用人眼的视觉余辉效应,我们仍会感觉所有的数码管都在同时显示。

2、功能模块电路设(1)输入输出模块框图(见图1)图1(2)模块逻辑表达(见表1)表1(数码管显示真值表)clk_1k dig seg↑01111111 C0↑10111111 F9注:数码管显示为01180121(3)算法流程图(见图2)(4)Verilog源代码module scan_led(clk_1k,d,dig,seg); //模块名scan_ledinput clk_1k; //输入时钟input[31:0] d; //输入要显示的数据output[7:0] dig; //数码管选择输出引脚output[7:0] seg; //数码管段输出引脚reg[7:0] seg_r; //定义数码管输出寄存器reg[7:0] dig_r; //定义数码管选择输出寄存器reg[3:0] disp_dat; //定义显示数据寄存器reg[2:0]count; //定义计数寄存器assign dig = dig_r; //输出数码管选择assign seg = seg_r; //输出数码管译码结果always @(posedge clk_1k) //定义上升沿触发进程begincount <= count + 1'b1;endalways @(posedge clk_1k)begincase(count) //选择扫描显示数据3'd0:disp_dat = d[31:28]; //第一个数码管3'd1:disp_dat = d[27:24]; //第二个数码管3'd2:disp_dat = d[23:20]; //第三个数码管3'd3:disp_dat = d[19:16]; //第四个数码管3'd4:disp_dat = d[15:12]; //第五个数码管3'd5:disp_dat = d[11:8]; //第六个数码管3'd6:disp_dat = d[7:4]; //第七个数码管3'd7:disp_dat = d[3:0]; //第八个数码管endcasecase(count) //选择数码管显示位3'd0:dig_r = 8'b01111111; //选择第一个数码管显示3'd1:dig_r = 8'b10111111; //选择第二个数码管显示3'd2:dig_r = 8'b11011111; //选择第三个数码管显示3'd3:dig_r = 8'b11101111; //选择第四个数码管显示3'd4:dig_r = 8'b11110111; //选择第五个数码管显示3'd5:dig_r = 8'b11111011; //选择第六个数码管显示3'd6:dig_r = 8'b11111101; //选择第七个数码管显示3'd7:dig_r = 8'b11111110; //选择第八个数码管显示endcaseendalways @(disp_dat)begincase(disp_dat) //七段译码4'h0:seg_r = 8'hc0; //显示04'h1:seg_r = 8'hf9; //显示14'h2:seg_r = 8'ha4; //显示24'h3:seg_r = 8'hb0; //显示34'h4:seg_r = 8'h99; //显示44'h5:seg_r = 8'h92; //显示54'h6:seg_r = 8'h82; //显示64'h7:seg_r = 8'hf8; //显示74'h8:seg_r = 8'h80; //显示84'h9:seg_r = 8'h90; //显示94'ha:seg_r = 8'h88; //显示a4'hb:seg_r = 8'h83; //显示b4'hc:seg_r = 8'hc6; //显示c4'hd:seg_r = 8'ha1; //显示d4'he:seg_r = 8'h86; //显示e4'hf:seg_r = 8'h8e; //显示fendcaseendendmodule四、系统调试(1)仿真代码`timescale 1ns/1nsmodule scan_ledfz;reg clk_1k;reg[31:0] d;wire[7:0] dig;wire[7:0] seg;parameter dely=100;scan_led u1(clk_1k,d,dig,seg);always #(dely/2)clk_1k=~clk_1k;initial beginclk_1k=0;d=32'h01180134;#dely ;#dely ;#dely ;#dely ;#dely ;#(dely*20);#dely $finish;endinitial $monitor($time,,,"%b,%d,%h,%h",clk_1k,d,dig,seg); endmodulemodule scan_led(clk_1k,d,dig,seg); //模块名scan_ledinput clk_1k; //输入时钟input[31:0] d; //输入要显示的数据output[7:0] dig; //数码管选择输出引脚output[7:0] seg; //数码管段输出引脚reg[7:0] seg_r; //定义数码管输出寄存器reg[7:0] dig_r; //定义数码管选择输出寄存器reg[3:0] disp_dat; //定义显示数据寄存器reg[2:0] count=3'b000; //定义计数寄存器assign dig = dig_r; //输出数码管选择assign seg = seg_r; //输出数码管译码结果always @(posedge clk_1k) //定义上升沿触发进程begincount <= count + 1'b1;endalways @(posedge clk_1k)begincase(count) //选择扫描显示数据3'd0:disp_dat = d[31:28]; //第一个数码管3'd1:disp_dat = d[27:24]; //第二个数码管3'd2:disp_dat = d[23:20]; //第三个数码管3'd3:disp_dat = d[19:16]; //第四个数码管3'd4:disp_dat = d[15:12]; //第五个数码管3'd5:disp_dat = d[11:8]; //第六个数码管3'd6:disp_dat = d[7:4]; //第七个数码管3'd7:disp_dat = d[3:0]; //第八个数码管endcasecase(count) //选择数码管显示位3'd0:dig_r = 8'b01111111; //选择第一个数码管显示3'd1:dig_r = 8'b10111111; //选择第二个数码管显示3'd2:dig_r = 8'b11011111; //选择第三个数码管显示3'd3:dig_r = 8'b11101111; //选择第四个数码管显示3'd4:dig_r = 8'b11110111; //选择第五个数码管显示3'd5:dig_r = 8'b11111011; //选择第六个数码管显示3'd6:dig_r = 8'b11111101; //选择第七个数码管显示3'd7:dig_r = 8'b11111110; //选择第八个数码管显示endcaseendalways @(disp_dat)begincase(disp_dat) //七段译码4'h0:seg_r = 8'hc0; //显示04'h1:seg_r = 8'hf9; //显示14'h2:seg_r = 8'ha4; //显示24'h3:seg_r = 8'hb0; //显示34'h4:seg_r = 8'h99; //显示44'h5:seg_r = 8'h92; //显示54'h6:seg_r = 8'h82; //显示64'h7:seg_r = 8'hf8; //显示74'h8:seg_r = 8'h80; //显示84'h9:seg_r = 8'h90; //显示94'ha:seg_r = 8'h88; //显示a4'hb:seg_r = 8'h83; //显示b4'hc:seg_r = 8'hc6; //显示c4'hd:seg_r = 8'ha1; //显示d4'he:seg_r = 8'h86; //显示e4'hf:seg_r = 8'h8e; //显示fendcaseendendmodule位码代码仿真代码`timescale 1ns/1nsmodule smg_tp; //测试模块的名字reg [2:0] c; //测试输入信号定义为reg型wire[7:0] dig; //测试输出信号定义为wire型parameter DEL Y=100; //延时100秒wei u1(c,dig); //调用测试对象initial begin //激励波形设定c=3'b0;#DEL Y c=3'b001 ;#DEL Y c=3'b010 ;#DEL Y c=3'b100 ;#DEL Y c=3'b101 ;#DEL Y c=3'b110 ;#DEL Y c=3'b111 ;#DEL Y $finish;endinitial $monitor($time,,,"dig=%d,c=%b ",dig,c); //输出格式i定义endmodulemodule wei(c,dig); //命名模块名字input[2:0] c;output[7:0] dig; //定义输入与输出reg[7:0] dig_r;reg[2:0] c_r; // 定义dig_r与c_r2个reg型数据assign dig=dig_r; //将reg型数据转化为wire型数据always @(*) //检测c_r的数据是否变化begin c_r=c;case (c_r)3'b000:dig_r=8'b11111110; //c_r的数据变化而dig_r对于的数据变化3'b001:dig_r=8'b11111101;3'b010:dig_r=8'b11111011;3'b011:dig_r=8'b11110111;3'b100:dig_r=8'b11101111;3'b101:dig_r=8'b11011111;3'b110:dig_r=8'b10111111;3'b111:dig_r=8'b01111111;default: dig_r=8'b11111111;endcase //结束case语句end //结束always语句endmodule //结束程序译码器代码仿真代码`timescale 1ns/1nsmodule duan_tp; //测试模块的名字reg[3:0] a; //测试输入信号定义为reg型wire[7:0] seg; //测试输出信号定义为wire型parameter DEL Y=100; //延时100秒duan u1(a,seg); //调用测试对象initial begin //激励波形设定a=4'b0;#DELY a=4'b0001;#DELY a=4'b0010;#DELY a=4'b0011;#DELY a=4'b0100;#DELY a=4'b0101;#DELY a=4'b0110;#DELY a=4'b0111;#DELY a=4'b1000;#DELY a=4'b1001;#DELY a=4'b1010;#DELY a=4'b1011;#DELY a=4'b1100;#DELY a=4'b1101;#DELY a=4'b1110;#DELY a=4'b1111;#DELY $finish;endinitial $monitor($time,,,"seg=%d,a=%b",seg,a); //输出格式i定义endmodulemodule duan(a,seg); //命名模块名字input[3:0] a;output[7:0] seg; //定义输入与输出reg[7:0] seg_r;reg[3:0] a_r; // 定义seg_r与a_r2个reg型数据assign seg=seg_r; //将reg型数据转化为wire型数据always @(*) //检测c_r的数据是否变化begin a_r=a;case(a_r) //七段译码4'b0000:seg_r = 8'hc0; //显示04'b0001:seg_r = 8'hf9; //显示14'b0010:seg_r = 8'ha4; //显示24'b0011:seg_r = 8'hb0; //显示34'b0100:seg_r = 8'h99; //显示44'b0101:seg_r = 8'h92; //显示54'b0110:seg_r = 8'h82; //显示64'b0111:seg_r = 8'hf8; //显示74'b1000:seg_r = 8'h80; ///显示84'b1001:seg_r = 8'h90; //显示94'b1010:seg_r = 8'h88; //显示a4'b1011:seg_r = 8'h83; //显示b4'b1100:seg_r = 8'hc6; //显示c4'b1101:seg_r = 8'ha1; //显示d4'b1110:seg_r = 8'h86; //显示e4'b1111:seg_r = 8'h8e; ///显示f endcase //结束case语句end //结束always语句endmodule //结束程序(2)仿真波形图(3)引脚图五、实验感想通过这次实验,让我学习动态扫描显示的原理;利用数码管动态扫描显示的原理编写程序,实现自己的学号的显示。

数码管动态显示实验

数码管动态显示实验

数码管动态显示实验一该实验采用proteus 7.5 sp3进行的仿真,仿真原理图如下图所示,其中采用的元器件有AT89C51单片机,74HC573锁存器,共阳极数码管。

单片机的P0口用与输出数码管要显示的段码,P2口用于选通要点亮的数码管。

数码管通过动态扫描显示HELLO,HELLO循环左移,左移一位LED灯亮下。

实验程序如下:/*********************************************************************** 程序名; 数码管动态显示实验* 功能:数码管通过动态扫描显示HELLO,HELLO循环左移,左移一位LED灯* 亮下。

* 编程者:ZPZ* 编程时间:2009/8/4**********************************************************************/#include<reg52.h>#include <intrins.h>#define uint unsigned int#define uchar unsigned charsbit P1_7=P1^7;uchar num;uchar code table2[]={0x89,0x86,0xc7,0xc7,0xc0,0xbf,0xff,0xff,0xff,0xff,0xff,0xff,0x89,0x86,0xc7,0xc7,0xc0,0xbf};void delay(uint);void main(){unsigned long b=0;uint a;uint l=0,k=6;P1_7=0;while(1){b++;a=0x01;if(b<80){for(num=l;num<k;num++){P2=a;a=a<<1;if(a==0x40)a=0x01;P0=table2[num];delay(2);}}else{ b=0;l++;k++;P1_7=!P1_7;if(l==12){l=0;k=6;}}}}void delay(uint z){uint i,j;for(i=z;i>0;i--)for(j=110;j>0;j--);}数码管动态显示实验二该实验如同实验一,不同之处在于,该实验是将预先设定的一个百位数,通过动态扫描使其在数码管的后三位显示。

单片机数码管动态显示实验报告

单片机数码管动态显示实验报告

单片机数码管动态显示实验报告单片机数码管动态显示实验程序(汇编)单片机数码管动态显示实验程序org 00hajmp headorg 0030hhead:mov sp,#0070hnum equ p0 ;p0口连接数码管reset:mov dptr ,#tabmov r0,#4sh:acall show_tabcall dptr_adddjnz r0,shmov r0 ,#4sjmp resetdptr_add:inc dptrinc dptrinc dptrinc dptrrettab :db0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H,88H,83H,0C6H,0A1H,86H,8EH;;;;;;;;;;;;;;;;;;;;; 函数的功能是用来动态显示dptr上的四个数据 ;;;;;;;;;;;;;;;;;;;;;; show_tab:clr amov r2,#0mov r3,#148mov p2,#238loop:movc a,@a+dptrmov num ,aacall delay_5msinc r2mov a,r2;调用片选函数前注意A的变化acall select_movcjne r2,#4,loopmov r2,#0clr adjnz R3,loopret;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;;;;;;;;;;;;;;;;;;;;;;;;select_mov:;p2的初值238push 0e0hmov a,p2rl amov p2,apop 0e0hretdelay_5ms:mov r6,#5signed_5ms:call delay_1msdjnz r6,signed_5msret篇二:单片机动态数码显示设计实验报告微机原理与接口技术实验报告实验题目:指导老师:班级:计算机科学与技术系姓名:动态数码显示设计2014年 12月3日实验十三动态数码显示设计一、实验目的1.掌握动态数码显示技术的设计方法。

实验五 数码管动态显示

实验五 数码管动态显示

实验五数码管动态显示实验
一.实验内容
1、在proteus软件中画好数码管动态显示实验电路,共阳数码管4个,由P0口作数据输出口与7段数码管数据引脚相连,P3.0~P3.3引脚输出位选控制信号。

2. 在keil软件中编写程序,采用动态显示法,实现数码管分别显示数字0,1,2,3 。

二.实验目的
1.巩固Proteus软件和keil软件的使用方法;
2. 掌握7段数码管的连接方式和动态显示法;
3. 掌握查表程序和延时子程序的设计。

三、实验电路及连线
四、程序流程图
五、实验代码
/*
*4只数码管采用动态扫描法显示0~3* */
#include <reg51.h>
typedef unsigned char uint8;
typedef unsigned int uint16;
code uint8 LED_CODE[] = {0xC0,0xF9,0xA4,0xB0}; void delay(uint16 x)
{
uint16 i,j;
for(i = x; i > 0; i --)
for(j = 114; j > 0; j --);
}
void main()
{
uint8 i;
while(1)
{
for(i = 0; i < 4; i ++)
{
P3 = 0x01 << i;
P0 = LED_CODE[i];
delay(10);
}
}
}
六、实验现象及结果分析。

实验5 LED数码管动态显示电路的设计与仿真

实验5  LED数码管动态显示电路的设计与仿真

实验项目五 LED数码管动态显示电路的设计与仿真[实验目的]1.掌握LED数码管的动态显示原理2.掌握LED数码管动态显示电路的设计3.掌握对LED数码管动态显示的控制方法[实验原理]动态扫描显示原理:动态显示方式是指逐位轮流点亮每位显示器(称为扫描),即每个数码管的位选被轮流选中,多个数码管公用一组段选。

对于每一位显示器来说,每隔一段时间点亮一次。

显示器的亮度既与导通电流有关,也与点亮时间和间隔时间的比例有关。

调整电流和时间参数,可实现亮度较高较稳定的显示。

若显示器的位数不大于8位,则控制显示器公共极电位只需8位口(称为扫描口),控制各位显示器所显示的字形也需一个8位口(成为段数据口)。

[实验仪器]PC机一台[Proteus用到器件的关键词]单片机(AT89C52)、六位一体数码管(7SEG-6MPX6-CC-BLUE)[实验内容与步骤]1.用Proteus软件设计出六位一体LED数码管动态显示电路原理图。

2.用Keil编写程序。

首先通过单片机的P3口逐个选通数码管的位选端,再通过单片机的P2口送出要显示的字符,最后调整每个数码管点亮时间,最终便可以看到动态显示的效果。

3.将HEX文件装载到AT89C52中,单击Start按钮开始动态仿真。

[实验数据记录];******六位一体数码管动态显示程序*******;ORG 0000HLJMP MAINORG 0050HMAIN: MOV DPH,#02HAGAIN: MOV R2,#00H ;段选端指针计数器MOV R3,#50H ;位选端指针计数器LP: MOV DPL,R3MOVC A,@A+DPTRMOV P3,AINC R3CLR AMOV DPL,R2MOVC A,@A+DPTRMOV P2,AMOV R2,DPLINC R2CLR ALCALL DELAYMOV P3,#0FFH ;为了去掉余辉,在下一次显示之前关掉位选端CJNE R2,#6,LPAJMP AGAINDELAY: MOV R0,#01FHDL1: MOV R1,#01FHDL2: NOPNOPDJNZ R1,DL2DJNZ R0,DL1RETORG 0200HTAB1: DB 06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH ;段选代码,对应0123456789 ORG 0250HTAB2: DB 0FEH,0FDH,0FBH,0F7H,0EFH,0DFH ;位选代码,分别选通第1,第2,第3,第4,;第5,第6个数码管END[实验数据处理] [实验结果及讨论]。

单片机数码管动态显示实验报告

单片机数码管动态显示实验报告

单片机数码管动态显示实验报告单片机数码管动态显示实验报告一、实验目的本实验旨在通过单片机控制数码管的动态显示,掌握单片机的基本操作和数码管显示原理,培养实际动手能力和编程技能。

二、实验原理数码管是一种常用的电子显示器件,通过单片机控制可以实现数字、字母等多种形式的显示。

本实验采用共阴极数码管,通过单片机控制选通哪个LED灯亮,从而在数码管上显示出相应的数字或字母。

三、实验步骤1.硬件搭建首先,将单片机、数码管、电源等硬件连接起来。

注意数码管的引脚与单片机的连接方式,确保正确连接。

2.编程环境设置打开单片机编程软件,如Keil uVision等,配置相应的编译器和调试器选项。

3.编写程序在编程环境中,编写程序以实现数码管的动态显示。

本实验采用C语言进行编程。

程序主要包括初始化、显示函数等。

4.编译程序将编写的程序进行编译,生成可执行文件。

5.调试程序通过调试器对程序进行调试,观察数码管的显示效果是否符合要求。

如有问题,及时修改程序并重新编译和调试。

6.测试结果确保程序运行无误后,对数码管的显示效果进行测试,观察是否达到预期效果。

四、实验结果与分析1.实验结果通过本次实验,我们成功实现了单片机对数码管的动态显示。

在数码管上成功显示了数字和字母,效果良好。

2.结果分析通过本次实验,我们深入了解了单片机的基本操作和数码管显示原理。

同时,我们也学会了如何编写程序、编译和调试程序。

此外,我们还学会了如何解决实验过程中遇到的问题。

这些技能对于后续的电子设计和开发具有重要意义。

五、实验总结与展望1.实验总结本次实验通过单片机控制数码管的动态显示,我们成功掌握了单片机的基本操作和数码管显示原理。

在实验过程中,我们学会了如何编写程序、编译和调试程序。

同时,我们也学会了如何解决实验过程中遇到的问题。

这些技能对于后续的电子设计和开发具有重要意义。

2.实验展望在本次实验的基础上,我们可以进一步探索如何实现更复杂的显示效果,如多位数码管的动态显示、彩色显示等。

数电实验报告:数码管显示控制电路设计

数电实验报告:数码管显示控制电路设计

数字电子技术实验报告实验五:数码管显示控制电路设计一、设计任务与要求:能自动循环显示数字0、1、2、3、4、1、3、0、2、4。

二、实验设备:1、数字电路实验箱;2、函数信号发生器;3、8421译码器;4、74LS00、74LS10、74LS90。

三、实验原理图和实验结果:1、逻辑电路设计及实验原理推导:将0、1、2、3、4、1、3、0、2、4用8421码表示出来,如下表:表一用8421码表示设想用5421码来实现8421码表示的0、1、2、3、4、1、3、0、2、4,故将0、1、2、3、4、5、6、7、8、9用5421码表示出来以与上表做对比:表二用5421码表示:观察表一,首先可得到最高位全为0,故译码器的“8”直接接低电平即可;对比表一和表二得,“4”位上的数字两表表示的数字是一样的,故“4”直接与5421码的“4”输出相连即可,即译码器的“4”连74LS90的“Q 3”端;表一的“2”位上的数字前五行与表二的“2”位上的数字前五行显示的一样,此时表二的“5”位上的数字均为0,表一的“2”位上的数字后五行与表二的“1”位上的数字后五行一样,此时表二上的“5”位上的数字均为1,故译码器的“2”要接的是实现函数表达式为1020Q Q Q Q +的电路;最后一位上没有明显的规律,可用卡诺图求得逻辑表达式,也即译码器的“1”要连接的是实现函数表达式为230130Q Q Q Q Q Q +的电路。

至此,实验原理图即可画出了。

2、 实验原理图:3、实验结果:编码器上依次显示0、1、2、3、4、1、3、0、2、4。

实验结果图如下:四、实验结果分析:实验结果为编码器上依次显示0、1、2、3、4、1、3、0、2、4,满足实验设计要求。

五、实验心得:在这次实验前,我认真的分析了实验原理并设计了电路,并用仿真软件得出了符合实验设计要求的结果,可是在实验过程中我遇到了问题,电路连了好几遍显示的结果都不完全对,第一次做的过程中没能顺利排除故障;但我在第二次做的过程中很顺利,因为实验原理已烂熟于心,所以很快完成了实验,一次成功。

数码管动态显示实验报告

数码管动态显示实验报告

数码管动态显示实验报告数码管动态显示实验报告一、引言数码管是一种常见的电子显示器件,广泛应用于各种仪器仪表、计时器、计算器等电子设备中。

数码管动态显示实验是电子技术实验中的一项基础实验,通过控制数码管的亮灭状态,可以实现数字的显示。

本实验旨在通过实际操作,加深对数码管工作原理的理解,并掌握数码管的动态显示方法。

二、实验原理数码管是由多个发光二极管(LED)组成的,每个发光二极管代表一个数字或字符。

通过对发光二极管的亮灭状态进行控制,可以显示不同的数字或字符。

数码管一般采用共阳极或共阴极的方式接线,共阳极的数码管的阳极连接在一起,而共阴极的数码管的阴极连接在一起。

在动态显示实验中,采用的是共阳极数码管。

数码管的亮灭状态是通过控制数码管的阳极与地之间的电压差来实现的。

当某个数码管需要亮时,将其对应的阳极与地连接,电流通过发光二极管,使其发光。

当某个数码管需要灭时,将其对应的阳极与电源正极连接,断开与地的连接,发光二极管不通电,不发光。

三、实验步骤1. 准备实验所需材料:共阳极数码管、面包板、电阻、导线等。

2. 将数码管与面包板连接,确保连接正确,数码管的阳极连接到面包板的相应引脚。

3. 连接电路:将电源正极与数码管的共阳极连接,电源负极与面包板的地引脚连接。

4. 编写程序:根据控制数码管显示数字的逻辑,编写相应的程序。

5. 将程序下载到单片机中,通过单片机控制数码管的亮灭状态。

四、实验结果经过实验,我们成功实现了数码管的动态显示。

在程序的控制下,数码管可以显示不同的数字或字符,实现了数字的动态变化。

通过调整程序中的参数,可以实现不同的显示效果,如闪烁、滚动、循环等。

五、实验总结本次实验通过实际操作,加深了对数码管工作原理的理解。

通过编写程序,我们掌握了控制数码管动态显示的方法。

在实验过程中,我们遇到了一些问题,如数码管显示不正常、程序错误等,但通过仔细检查和调试,最终解决了这些问题。

通过这次实验,我们不仅学到了知识,还培养了动手实践和问题解决的能力。

单片机数码管动态显示实验报告

单片机数码管动态显示实验报告

单片机数码管动态显示实验报告实验名称:单片机数码管动态显示实验实验目的:1.了解数码管的动态显示原理;2.掌握单片机控制数码管动态显示的方法;3.培养对数字信号处理的能力。

实验器材:1.STC89C52单片机开发板;2.DC560A数码管模块;3.连接线。

实验原理:数码管是由多个发光二极管组成的,每个数字在数码管上的显示方式是通过快速地轮流点亮数码管的每个段来实现的。

在本实验中,采用时分复用的方法控制数码管动态显示相关数字。

实验步骤:1.连接单片机和数码管模块。

将数码管的共阳或共阴引脚分别连接到单片机的相应IO口上,并接上合适的电阻。

将数码管的A~G引脚连接到单片机的相应IO口上。

2.编写程序代码。

程序主要功能是通过切换数码管的显示段和位,实现数码管动态显示。

4.打开电源,观察行程显示的效果。

实验结果:在实验中,通过编写程序控制单片机,成功实现了数字的动态显示。

数码管能够按顺序显示出所要显示的数字,并且在多个数码管之间进行切换,显示效果非常理想。

实验分析:1.数码管动态显示的原理是通过快速地轮流点亮每个段来实现的。

这个过程发生的速度非常快,人眼无法察觉到。

2.单片机的IO口输出高或低电平,控制数码管的亮灭。

通过逐位切换和循环控制,实现了数字的动态显示。

3.单片机的频率和控制方式对动态显示效果有一定影响。

适当调整程序中的延时时间和控制方式,可以改变数码管的显示效果。

实验总结:本实验通过对单片机数码管动态显示的实现,加深了对数码管原理和单片机控制的认识。

掌握了数字信号动态显示的基本原理和方法。

在今后的学习和工作中,这将有助于对数字信号处理和显示技术的更深入理解和应用。

实验拓展:可以尝试在实验中通过按键按下的方式改变数码管显示的数字,进一步扩展单片机的应用范围和实用性。

此外,还可以尝试改变数码管的显示效果,比如实现数码管的闪烁、呼吸灯等特殊效果。

这将对单片机的编程和数码管的控制提出更高的要求,同时也增加了实验的趣味性和实用性。

数码管的动态显示实验报告

数码管的动态显示实验报告

数码管的动态显示实验报告一、实验目的1、了解数码管的工作原理和显示方式。

2、掌握数码管动态显示的编程方法和技巧。

3、通过实验,提高对数字电路和单片机编程的综合应用能力。

二、实验原理数码管是一种常用的数字显示器件,分为共阴极和共阳极两种类型。

共阴极数码管的阴极连接在一起并接地,当阳极接高电平时,对应的段点亮;共阳极数码管则是阳极连接在一起并接电源,当阴极接低电平时,对应的段点亮。

动态显示是指依次快速地轮流点亮多个数码管,利用人眼的视觉暂留效应,使人感觉多个数码管同时稳定地显示不同的数字。

在动态显示中,需要通过控制数码管的位选和段选信号来实现数字的显示。

三、实验设备1、单片机开发板2、电脑3、编程软件四、实验步骤1、硬件连接将数码管与单片机的 I/O 口进行连接,确定位选和段选的引脚。

连接好电源和地线,确保电路连接正确无误。

2、软件编程选择合适的编程语言,如 C 语言。

定义数码管的引脚和相关的控制变量。

编写数码管显示的函数,包括位选函数和段选函数。

在主函数中,通过循环调用显示函数,实现数字的动态显示。

3、编译下载使用编程软件对编写的程序进行编译,检查是否有语法错误。

将编译成功的程序下载到单片机开发板中。

五、实验程序```cinclude <reg52h> //包含 52 系列单片机的头文件//数码管段选引脚定义sbit SEG_A = P2^0;sbit SEG_B = P2^1;sbit SEG_C = P2^2;sbit SEG_D = P2^3;sbit SEG_E = P2^4;sbit SEG_F = P2^5;sbit SEG_G = P2^6;sbit SEG_DP = P2^7;//数码管位选引脚定义sbit BIT1 = P1^0;sbit BIT2 = P1^1;sbit BIT3 = P1^2;sbit BIT4 = P1^3;//显示数字 0 9 的段码unsigned char code SEGMENT_CODE ={0xC0, 0xF9, 0xA4, 0xB0, 0x99, 0x92, 0x82, 0xF8, 0x80, 0x90};//数码管位选函数void SelectBit(unsigned char bit){switch (bit){case 1:BIT1 = 0; BIT2 = 1; BIT3 = 1; BIT4 = 1; break;case 2:BIT1 = 1; BIT2 = 0; BIT3 = 1; BIT4 = 1; break;case 3:BIT1 = 1; BIT2 = 1; BIT3 = 0;BIT4 = 1;break;case 4:BIT1 = 1;BIT2 = 1;BIT3 = 1;BIT4 = 0;break;}}//数码管段选函数void SelectSegment(unsigned char num){SEG_A =(num & 0x01)? 1 : 0; SEG_B =(num & 0x02)? 1 : 0; SEG_C =(num & 0x04)? 1 : 0; SEG_D =(num & 0x08)? 1 : 0; SEG_E =(num & 0x10)? 1 : 0;SEG_F =(num & 0x20)? 1 : 0; SEG_G =(num & 0x40)? 1 : 0; SEG_DP =(num & 0x80)? 1 : 0;}//主函数void main(){unsigned char i, num = 0;while (1){for (i = 1; i <= 4; i++){SelectBit(i);SelectSegment(SEGMENT_CODEnum);num++;if (num == 10)num = 0;delay_ms(5);//适当的延时,以实现稳定显示}}}```六、实验现象与结果在实验中,当程序下载到单片机开发板后,数码管依次快速地显示数字 0 到 9,循环往复。

数码管的动态显示实验报告

数码管的动态显示实验报告

数码管的动态显示实验报告数码管的动态显示实验报告一、引言数码管是一种常见的数字显示器件,广泛应用于计算机、电子仪器和仪表等领域。

本实验旨在通过动态显示的方式,展示数码管的工作原理和应用。

二、实验目的1. 了解数码管的基本结构和工作原理;2. 学习使用单片机控制数码管进行动态显示;3. 掌握数码管的编码方式和显示原理。

三、实验器材和原理1. 实验器材:数码管、Arduino开发板、面包板、杜邦线等;2. 实验原理:数码管是由多个发光二极管组成的,每个发光二极管可以通过控制其阳极和阴极来实现亮灭。

通过快速切换不同的发光二极管,可以实现数码管的动态显示。

四、实验步骤1. 连接电路:将数码管的阳极和阴极分别连接到Arduino开发板的数字输出引脚和地线上;2. 编写程序:使用Arduino开发环境,编写程序控制数码管的动态显示;3. 上传程序:将编写好的程序上传到Arduino开发板;4. 运行实验:观察数码管的动态显示效果。

五、实验结果和分析经过实验,我们成功实现了数码管的动态显示。

通过控制不同的数字和显示时间间隔,我们可以展示各种数字、字母、符号等。

数码管的动态显示效果生动鲜明,能够吸引人的注意力。

六、实验心得通过本次实验,我深入了解了数码管的工作原理和应用。

数码管作为一种常见的显示器件,在现代电子领域扮演着重要的角色。

掌握数码管的编码方式和显示原理,对于今后的学习和工作都具有重要意义。

七、实验应用数码管广泛应用于各种计算机和电子设备中,如电子钟、电子秤、数字仪表等。

其动态显示效果可以提高用户体验,增加信息传递效果。

同时,数码管的低功耗、易控制等特点也使其成为电子产品中不可或缺的一部分。

八、实验展望数码管作为一种显示器件,随着科技的发展,其在分辨率、显示效果、节能等方面还有很大的发展空间。

未来,我们可以期待更加智能化、高清晰度的数码管产品的出现,为人们的生活和工作带来更多的便利和乐趣。

九、结论通过本次实验,我们深入了解了数码管的动态显示原理和应用。

数码管动态显示微课设计

数码管动态显示微课设计

数码管动态显示微课设计微课教学设计
给出数码管动态显示连接动画,共阴极数码管和共阳极数码管既有联系在与单片机连接时又有很大的不同,让学生先认识不同的连接原理,并带着疑问进行下面的学习。

三、数码管动态显示的工作原理
1、先以仿真软件的效果进行演示,让学生从抽象中理解
2、给出数码管动态显示在程序中的实现原理。

四、仿真和程序设计过程演示:
1、简单说明三个重点步骤,让学生有大概的思路
2、视频演示操作同步,将硬件电路设计的原理和程序设计融于其中,使学生从理论和操作上都能很好的掌握。

五、归纳总结
总结数码管动态显示程序设计的重要步骤,与上面的讲解相呼应,加强学生的记忆和理解。

六、能力拓展
引入学习任务时,给出了两个思考题,引导学生课下思考如何在动态数码管的项目上增加其它功能。

(单片机实验教学资料)5.数码管动态显示

(单片机实验教学资料)5.数码管动态显示
实验效果与注意事项
实验效果上,学生能够通过实际操作掌握数码管动态显示 的方法,提高对单片机的应用能力。在实验过程中,需要 注意避免数码管亮度过高导致视觉疲劳,以及保证程序的 稳定性,避免出现闪烁或乱码现象。
展望
技术发展与新应用
教学改进与新方法
个人能力提升与拓展
随着技术的不断发展,数码管动态显 示技术将会有更多的应用领域。例如 ,在智能家居、物联网等领域中,数 码管动态显示可以作为人机交互界面 ,实现更加直观、高效的信息展示。
或字符。
数码管内部通常有8个LED段, 分别表示数字0-9和字母A-F。
当给某个LED段加上正向电压时, 该段点亮;反之,则熄灭。
动态显示与静态显示的区别
静态显示
每个数码管在某一时刻只显示一 个字符,需要使用多路复用技术 来控制多个数码管。
动态显示
通过轮流点亮不同的数码管,使 多个数码管同时显示不同的字符 ,实现多位显示。
实验结果展示
结果1
数码管成功显示数字或字母,无闪烁 或错位现象。
结果2
数码管显示效果不理想,存在闪烁或 错位现象。
结果分析
分析1
程序编写正确,数码管连接无误,因此数码管能够正确显示数字或字母。
分析2
程序中存在延时函数设置不当或数码管连接存在问题,导致数码管显示效果不 理想。
05
总结与展望
总结
发送控制信号
02
根据需要显示的内容,编写程序代码以向数码管发送相应的控
制信号。
刷新显示
03
在需要动态显示的情况下,编写程序代码以实现数码管的刷新
显示。
数码管驱动程序的编写
确定驱动程序功能
根据实际需求,确定驱动程序应具备的功能,如显示数字、字母 或自定义字符等。

数码管动态显示实验报告

数码管动态显示实验报告

数码管动态显示实验报告1.实验目的:本实验旨在通过使用单片机控制数码管的动态显示,了解数码管的原理和使用方法,加深对单片机控制的理解。

2.实验原理:数码管是由许多发光二极管(LED)组成的,每个数码管有7个发光二极管组成7段,再加上一个小数点(或8段数码管),通过控制每个发光二极管的亮灭状态,可以显示出数字、字母等字符。

本实验使用的是共阴极数码管,在通常情况下,数码管引脚为低电平时亮灯,为高电平时灭灯。

3.实验器材:-STC89C52单片机-共阴极数码管-电阻-面包板及连接线-电源4.实验步骤:步骤1:连接电路将数码管的7个引脚分别连接到单片机的7个I/O引脚上,并通过电阻限流。

连接电路后,确认连接无误。

步骤2:编写程序使用C语言编写程序,实现数码管的动态显示。

可以使用延时函数和位操作函数控制数码管的亮灭,通过改变每个数码管引脚的高低电平状态,实现显示不同的数字、字母。

步骤4:实验观察与分析观察数码管的显示效果,通过改变程序中的参数,可以实现不同的显示效果。

5.实验结果与分析:经过实验,我们成功实现了数码管的动态显示。

通过编写程序,我们可以实现数码管显示数字、字母等不同的字符。

调整程序中的参数,可以实现不同的动态显示效果,如流水灯、闪烁等。

数码管的动态显示是通过改变每个数码管引脚的高低电平实现的,通过快速改变引脚电平状态的时间间隔,创建了肉眼无法察觉的视觉效果,从而实现了动态显示。

此外,通过实验我们还了解到了单片机控制数码管的原理和方法,加深了对单片机控制的理解。

6.实验总结:通过本实验,我们了解到了数码管的动态显示原理和方法,并通过编写程序,成功实现了数码管的动态显示。

同时,我们还巩固了单片机控制的知识,提高了自己的动手能力和问题解决能力。

在今后的学习和工作中,我们将进一步掌握数码管的使用方法,并能够将其应用于更加复杂的应用场景中,实现更多有趣的功能。

数码管动态显示程序设计

数码管动态显示程序设计

电子系统设计专题实验报告学院:电信学院班级:姓名:学号:Email:日期:实验三、数码管动态显示程序设计一、实验目的和要求【实验目的】1. 熟悉单片机数码管电路结构原理;2. 学会单片机C语言I/O端口编程控制方法;3. 学会外部显示部件LED灯的软件编程使用方法;4. 学会单片机开发系统的软件调试方法。

【实验要求】1. 仔细阅读示例程序,了解程序的设计方法,完成示例程序的编译、调试、下载验证,运行结果正确;2. 修改示例程序的延时参数及其他参数,使之能够快速稳定的显示1-8的8个数据,即动态扫描显示效果。

3. 自己设计实现8位数码管能够自动进位计数显示的功能程序,并在实验系统平台完成编译、调试、下载验证,运行结果正确。

4. * 编写程序,显示教师指定的符号形状。

二、实验设备及设计开发环境1. 单片机平台:AVR ATmega128实验开发板;2. 开发环境平台:AVR Studio 4.18集成开发软件;WinAVR(GCC) 2010 C 语言编译器;JTAGICE mkII在线仿真器。

三、实验的电路原理电路由两个锁存器及8位七段共阳极数码管组成;两个锁存器,分别管理段码和位码,通过使能编程实现段码和位码的操作;位码操作:选定将要进行显示的某一位数码管;段码操作:输出欲显示的字符的段码;程序设计及分析设计思路:1)端口初始化2)关闭位码锁存器,使能段码锁存器(位码锁存使能端输出低电平,段码锁存使能端输出高电平)参考语句:PORTG |= _BV(DIG_CS2);//段使能,1PORTG &= ~_BV(DIG_CS1);//位关闭,03)送数码管段码参考语句:PORTB = code[j];4)关闭段码锁存器,使能位码锁存器(位段码锁存使能端输出低电平,位码锁存使能端输出高电平)参考语句:PORTG |= _BV(DIG_CS1); //1,位使能PORTG &= ~_BV(DIG_CS2); //0,段关闭5)送数码管位码参考语句:PORTB = 128;6)关闭位码锁存器参考语句:PORTG &= ~_BV(DIG_CS1); //0,段关闭PORTG &= ~_BV(DIG_CS2); //0,位关闭_delay_ms(1);四、实验结果【任务一】:输出显示八进制计数【任务二】:输出显示倒C五、实验总结完成了输出显示八进制计数和老师指定要输出的倒C,对七段共阳极数码管有了更深的理解,学会了对其进行段、位码操作。

多位数码管动态显示

多位数码管动态显示

【006】多位数码管动态显示[51]点击数:2102 发布日期:2006-4-16 16:04:00实验目的:数码管动态显示多位数字。

实验参考:笨笨工作室实验五、多位数码动态显示。

()实验板: FB51A()。

该实验用到实验板的资源电路图如下:其中P0口是段码,低电平有效。

P2口是位码,高电平有效。

口操纵第1个数码管,一直到口操纵第8个。

该板的段码表如下:各个数码管的段码都是p0口的输出,即各个数码管输入的段码都是一样的, 为了使其别离显示不同的数字, 可采纳动态显示的方式,即先只让最低位显示0 (含点),通过一段延时,再只让次低位显示1,如此类推。

由视觉暂留,只要咱们的延不时刻足够短,就能够够使得数码的显示看起来超级的稳固清楚。

进程如以下图。

采纳上述方式思路编写如下:org 0000hstart: mov a,#08h ;0 ;段码mov p0,amov p2,#01h ;位码lcall delay_1msmov a,#0abh ;1mov p0,amov p2,#02hlcall delay_1msmov a,#12h ;2mov p0,amov p2,#04hlcall delay_1msmov a,#22h ;3mov p0,amov p2,#08hlcall delay_1msmov a,#0a1h ;4mov p0,amov p2,#10hlcall delay_1msmov a,#24h ;5mov p0,amov p2,#20hlcall delay_1msmov a,#04h ;6mov p0,amov p2,#40hlcall delay_1ms; mov a,#0aah ;7; mov p0,amov p0,#0aah ;感觉用这句和上面两句实现一样,可能这种适应以后会有效吧mov p2,#80hlcall delay_1msljmp startdelay_1ms: mov r6,#2temp: mov r5,#0ffhdjnz r5,$djnz r6,tempretend下载到板上取得测结果为从低到高八位别离显示0到7(含点)。

数码管动态显示实验实验

数码管动态显示实验实验

数码管动态显示实验实验
一、实验目的
1. 熟悉Keil IDE u Vision集成开发环境软件的使用方法。

2. 熟悉51单片机仿真软件的使用方法。

3. 熟悉单片机程序设计的基本思路和方法。

4. 理解数码管动态显示的工作原理、掌握其编程方法。

二、实验内容
利用数码管动态显示方式,AT89C51外接两个共阳极数码管,P2.6和P2.7分别与三极管基极相连作位选端。

实现间隔0.5s循环流水显示数字0-9,开始显示“1 2”,0.5s后变为“2 3”,再过0.5s后变为“3 4”……
三、实验要求
1. 0.5s延时,可采用定时/计数器查询方式实现,也可采用for循环方式实现。

给定晶振为11.0592MHz。

2. 画出程序流程图,并独立编写C51程序。

3. 做好实验前预习,完成proteus仿真。

四、实验硬件电路
五、实验步骤
1. 在Keil IDE u Vision 集成开发环境下建立工程文件,编辑源文件、编译、链接并生成目标文件,仿真调试验证结果。

2. 分析本次实验的电路图,并结合控制程序,理解控制原理。

3.打开proteus仿真软件,新建工程,放置电路图所需的各类元器件。

更改各类元器件的数值和名称。

将各类元器件进行电气连接。

4. 双击AT89C51单片机,设置单片机的程序文件路径。

5. 运行proteus仿真软件,观察仿真效果。

6. 观察能否实现目标功能,如有问题查找原因,最终实现目标功能。

六、题目分析
七、程序流程图及程序清单。

数码管动态显示

数码管动态显示

数码管动态显示[实验任务]P0端口接动态数码管的字形码笔段,P2端口接动态数码管的数位选择端,动态显示“1234”字样;[硬件电路]注意:在P0口还有Array 1K的排阻作为上拉电阻,在以后的电路原理图中都是如此,请大家不要忽略了[DPY-1实验板连接]用排线把JP-CODE连到JP8是,注意:a接P0.0;b接P0.1;c接P0.3……把JP-CS连到JP14上,注意:4H接P2.4;3H接P2.5;2H接P2.6;1H接P2.7;[实验原理]七段LED显示器内部由七个条形发光二极管和一个小圆点发光二极管组成,根据各管的极管的接线形式,可分成共阴极型和共阳极型。

LED数码管的a-dp七个发光二极管因以不同亮暗的组合就能形成不同的字形,这种组合称之为字形码,下面给出共阴极的字形码“0”3FH “1”06H “2”5BH “3”4FH“4”66H“5”6DH“6”7DH“7”07H“8”7FH“9”6FH“A”77H“b”7CH“C”39H“d”5EH“E”79H “F”71H由于显示的数字0-9的字形码没有规律可循,只能采用查表的方式来完成我们所需的要求了。

这样我们按着数字0-9的顺序,把每个数字的笔段代码按顺序排好!建立的表格如下所示:TABLE DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH动态接口采用各数码管循环轮流显示的方法,当循环显示频率较高时,利用人眼的暂留特性,看不出闪烁显示现象,这种显示需要一个接口完成字形码的输出(字形选择),另一接口完成各数码管的轮流点亮(数位选择)。

[C语言源程序]#include <reg52.h>code unsigned char seg7code[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; //显示段码void Delay(unsigned int tc) //延时程序{while( tc != 0 ) //如果tc为0则终止延时{unsigned int i; //局部正整数变量ifor(i=0; i<10000; i++); //执行40000次将耗时100毫秒tc--; //tc计数减一}}void Led(int date) //显示函数{P1=P1&0xf7; //P2.7输出低电平,选通千位数P0=seg7code[date/1000]; //取出千位数,查表,输出。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验五数码管动态显示电路设计(综合性)
一、实验目的
1.熟练掌握电路原理图的设计方法,能快速准确的画出电路原理图。

2.熟练掌握印制电路板的设计流程。

二、实验要求
1.做实验前对本科所学内容进行系统的复习。

2、实验完毕后讨论操作过程中遇到的问题。

三、实验设备
网络计算机,Protel99se软件。

四、实验内容及步骤
(一)实验内容
1.绘制电路原理图。

2.制作印制电路板。

(二)实验步骤
1、制作元件电气图形符号(可展开讲述)
2、制作元件封装(可展开讲述)。

3、请根据电路原理图自行绘制出电路图(可展开讲述)。

4、电路板为矩形,长 4800mil ,宽 2600mil ,双层板设计,自动布线。

在自动设计规则中,VCC、GND线宽设置为20mil,其余设置线宽为 10mil。

元件封装:
图25 电路图。

相关文档
最新文档