BCD-7段数码管显示译码器电路设计(PPT 35张)

合集下载

CD4511(BCD码七段码译码器)

CD4511(BCD码七段码译码器)
LT:3 脚是测试输入端,当 BI=1,LT=0 时,译码输出全为 1,不管输入 DCBA 状态如何,七段均发亮,显示“8”。它主要用来检测数码管是否损坏。
LE:锁定控制端,当 LE=0 时,允许译码输出。 LE=1 时译码器是锁定 保持状态,译码器输出被保持在 LE=0 时的数值。 A1、A2、A3、A4、为 8421BCD 码输入端。
表 3-2 CD 4511 的真值表
8421 BCD 码对应的显示见下图
百度文库

选用共阴极数码管,对于 CD4511 ,它与数码管的基本连接方式如下图 :
CD4511 译码用两级或非门担任,为了简化线路,先用二输入端与非门对输入数
据 B、C 进行组合,得出 、 、 、 四项,然后将输入的数据 A、D 一起用 或
非门译码。 (4)消隐 BI 为消隐功能端,该端施加某一电平后,迫使 B 端输出为低电平,字形消隐。 消隐控制电路如图 3-4 所示。 消隐输出 J 的电平为
a、b、c、d、e、f、g:为译码输出端,输出为高电平 1 有效。 CD4511 的内部有上拉电阻,在输入端与数码管笔段端接上限流电阻就可
工作
1. CD4511 的引脚 CD4511 具有锁存、译码、消隐功能,通常以反相器作输出级,通常用以驱动 L
ED。其引脚图如 3-2 所示。 各引脚的名称:其中 7、1、2、6 分别表示 A、B、C、D;5、4、3 分别表示 LE、 BI、LT;13、12、11、10、9、15、14 分别表示 a、b、c、d、e、f、g。左边 的引脚表示输入,右边表示输出,还有两个引脚 8、16 分别表示的是 VDD、VS

七段数码管显示数字电路

七段数码管显示数字电路

七段数码管显示数字电路

学习 2008—11—02 15:15:18 阅读2837 评论0 字号:大中小

CD4511是一个用于驱动共阴极 LED (数码管)显示器的 BCD 码—七段码译码器,特点如下:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流.可直接驱动LED显示器.

CD4511 是一片 CMOS BCD-锁存/7 段译码/驱动器,引脚排列如图 2 所示。其中a b c d 为 BCD 码输入,a为最低位。LT为灯测试端,加高电平时,显示器正常显示,加低电平时,显示器一直显示数码“8”,各笔段都被点亮,以检查显示器是否有故障。BI为消隐功能端,低电平时使所有笔段均消隐,正常显示时, B1端应加高电平.另外 CD4511有拒绝伪码的特点,当输入数据越过十进制数9(1001)时,显示字形也自行消隐。LE是锁存控制端,高电平时锁存,低电平时传输数据。a~g是 7 段输出,可驱动共阴LED数码管。另外,CD4511显示数“6”时,a段消隐;显示数“9”时,d段消隐,所以显示6、9这两个数时,字形不太美观图3是 CD4511和CD4518配合而成一位计数显示电路,若要多位计数,只需将计数器级联,每级输出接一只 CD4511 和 LED 数码管即可。所谓共阴 LED 数码管是指 7 段 LED 的阴极是连在一起的,在应用中应接地。限流电阻要根据电源电压来选取,电源电压5V时可使用300Ω的限流电阻。

用CD4511实现LED与单片机的并行接口方法如下图: (略)

七段数码显示译码器电路的设计

七段数码显示译码器电路的设计

WHEN "1001" => LED7s <="1101111";

WHEN "1010" => LED7s <="1110111";

WHEN "1011" => LED7s <="1111100";

WHEN "1100" => LED7s <="0111001";

WHEN "1101" => LED7s <="1011110";

WHEN "1110" => LED7s <="1111001";

WHEN "1111" => LED7s <="1110001";

WHEN OTHERS => NULL;

END CASE;

END PROCESS;

END;

在完成源程序的编辑后,执行”Processing”菜单下的“Start Compilation”命令,对DECL7s.vhd进行编译。在完成对源文件的编译后,执行“File”菜单的“New”命令,或者直接按主窗口上的“创建新的文本文件”按钮,在弹出的新文件类型选择对话框中,选择“Vector Waveform File”生成仿真文件。

对引脚进行锁定。执行”Assignments”菜单下的“Pins”命令,根据下图进行引脚设置。

用电缆连接电脑与设备箱,执行”Tools”菜单下的“Programmer”命令,在弹出的对话框中,单击“Hardware Setup”并在新弹出的对话框中选择驱动,然后退出至上一层对话框,单击“Start”进行下载烧录。

【实验数据整理与归纳】

DECL7s11.vhd 实际效果图

DECL7s11.vwf

【实验结果与分析】

BCD七段译码器驱动器

BCD七段译码器驱动器

最小 4.5 2.0
-55
54 参数值
典型
5
最大 5.5
0.7 -100 -50
2 1.6 125
单位
V V V μA μA mA mA ℃
74Ⅱ
符号 参数名称
测试条件
参数值
最小 典型 最大
VIK 输入钳位电压 Vcc=最小 II=-18mA
VOH
输出高电平电压
Vcc=最小 VIH=2V
VIL=最大 IOH=最大
件)。
4、当灭灯输入/动态灭灯输出(
)开路或保持在电平,而试灯输入( )为低
电平时,则所有各段的输出都为低电平。
*
是线与逻辑,用作灭灯输入( )或动态灭灯输出( )之用,或兼作
两者之用。
天水天光半导体有限责任公司(八七一厂) 2005.1 版
54LS248/74LS248
LSTTL 型 BCD—七段译码器/驱动器
tPHL 传输延迟时间 A tPLH 传输延迟时间 A tPHL 传输延迟时间 tPLH 传输延迟时间
CL=15pF RL=4KΩ CL=15pF RL=6KΩ
最小
参数值 典型
最大 100 100 100 100
单位
ns ns ns ns
天水天光半导体有限责任公司(八七一厂) 2005.1 版

HH H× H× H× H× H× H× H× H× H× H× H× H× H× H× H× ××

BCD七段显示译码器

BCD七段显示译码器

BCD七段显示译码器

授课人:许霞

教学目的:1、掌握BCD七段显示译码器的工作原理。

2、了解BCD七段显示译码器芯片知识及应用。

教学重点:BCD七段显示译码器的电路组成和工作原理

教学难点:BCD七段显示译码器的工作原理

教学手段:多媒体教学和实验演示结合

教学过程:

一、图片导入

1、十字路口红绿灯数字显示

2、电子手表时间数字显示

3、电脑人机信息处理过程

二、 BCD七段显示译码器

1、什么是BCD七段显示译码器

用4位二进制数0000-1001分别代表十进制数0-9,称为二—十进制数,又称为BCD码(Binary Coded Decimal)并用七段数码管显示出十进制数

问题1、七段的含义

问题2、4位二进制可编出几种码?

2、电路组成:

1

2

(1)数码管显示部分

举例:当显示4和6时数码管输入信号? 写出所有显示数字时的输入。

A B

ABCD: 输入信号0000-1001 二进制数 输出信号0-9十进制数

对输入信号完成译码

对译码输出进行显示

a

b

c

d

e

f

g

.

.

.

(2)七段数码管显示译码电路组成

1、译码显示电路的连接

2、译码电路芯片74LS48的介绍

3

4、电路动态演示+实验显示

三、小结

四、作业:

1、设计本译码器内部逻辑电路(了解)

2、给你一片74LS48芯片和七段数码显示管,你会制作这个小制作了吗?

3

BCD七段数码管显示译码器和真值表资料

BCD七段数码管显示译码器和真值表资料

B C D七段数码管显示译码器和真值

表资料(总2页)

-本页仅作为预览文档封面,使用时请删除本页-

BCD七段数码管显示译码器和真值表

类别:网文精粹?? 阅读:1865

发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。图4 - 17(a)是共阴式LED数码管的原理图,图4-17(b)是其表示符号。使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图4 - 17(c)所示。

BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以Fa~Fg表示),也称4—7译码器。若用它驱动共阴LED数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。例如,当输入8421码DCBA=0100时,应显示,即要求同时点亮b、c、f、g段,熄灭a、d、e段,故译码器的输出应为Fa~Fg=0110011,这也是一组代码,常称为段码。同理,根据组成0~9这10个字形的要求可以列出8421BCD七段译码器的真值表,见表4 - 12(未用码组省略)。

MSI BCD七段译码器就是根据上述原理组成的,只是为了使用方便,增加了一些辅助控制电路。这类集成译码器产品很多,类型各异,它们的输出结构也各不相同,因而使用时要予以注意。图4-17(c)是BCD七段译码器驱动LED数码管(共阴)的接法。图中,电阻是上拉电阻,也称限流电阻,当译码器内部带有上拉电阻时,则可省去。数字显示译码器的种类很多,现已有将计数器、锁存器、译码驱动电路集于一体的集成器件,还有连同数码显示器也集成在一起的电路可供选用。

7段数码显示译码器

7段数码显示译码器

实验七 7段数码显示译码器设计

一、实验目的

学习7段数码显示译码器设计。

二、 实验条件

1、PC 机一台。

2、开发软件:Quartus Ⅱ。

3、实验设备:GW48-CK EDA 实验开发系统。

4、选用芯片:ACEX 系列EP1K30TC144-3。

三、实验原理

7段数码是纯组合电路,通常的小规模专用IC ,如74或4000系列的器件只能作十进制BCD 码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD 中来实现。但为了简化过程,首先完成7段BCD 码译码器的设计。当LED7S 输出为“1101101”时,数码管的7个段:g 、f 、e 、d 、c 、b 、a 分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示“5”

图1 共阴数码管及其电路

四、实验内容

1、在 Quartus II 上对下图所示电路进行编辑、仿真及硬件验证,可用健1-4作为输入,数码管任选。

2、设计7段数码显示译码器,编写相关Verilog HDL 程序,并在Quartus II 上对其进行编辑、硬件验证。

图2 由74248构成的7段译码电路

五、实验报告

根据以上的实验内容写出实验报告,包括程序设计、软件编译及硬件测试和实验过程。参考程序:

module digital7 (A,Y);

input [3:0]A;

output [6:0]Y;

reg [6:0]Y;

always @ (A)

begin

case (A)

BCD七段数码管显示译码器和真值表资料教学教材

BCD七段数码管显示译码器和真值表资料教学教材

BCD七段数码管显示译码器和真值表资料

BCD七段数码管显示译码器和真值表

类别:网文精粹阅读:1865

发光二极管(LED)由特殊的半导体材料砷化傢、磷砷化傢等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发

光段的亮、灭,就可以显示各种字形或符号。图4 - 17(a是共阴式LED数码管的原理图,图4-17(b)是其表示符号。使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图4 - 17(c)所示

BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以Fa~Fg表示),也称4—7译码器。若用它驱动共阴LED 数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。例如,当输入8421码DCBA=0100时,应显示,即要求同时点亮b、c、f、g段,熄灭

a d、e段,故译码器的输出应为Fa~Fg=0110011,这也是一组代码,常称为段码。同理,根据组成0~9这10个字形的要求可以列出8421BCD七段译码器的真值表,见表4 - 12(未用码组省略)

表4-12 BCD -段译码器真直表

D 输

C

F M

字晤A尺Fj

00001111110一

n 00Q101100a a1

001a]10i I a

* 匚

001i111i001二

010a c110011LJ

BCD7段译码器

BCD7段译码器

2
EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了计算机辅助设计、计算机辅助工程设计和电子设计自动化(EDA)3个发展阶段。
(1)20世纪70年代的计算机辅助设计(CAD)阶段
早期的电子系统硬件设计采用的是分立元件,随着集成电路的出现和应用,硬件设计进入到大量选用中小规模标准集成路阶段。人们将这些器件焊接在电路板上,做成初级电子系统,对电子系统的调试是在组装好的印刷电路板上进行的。
MAX+PLUS II 还为用户提供了功能强大的在线帮助功能。通过使用在线帮助,用户可以获得设计中所需的全部信息。
在线帮助可以通过使用功能键或鼠标打开。按F1键可以获得对话框内容、高亮度菜单命令或弹出式的帮助信息;按Shift+F1键或点击快捷工具按纽即可进入在线帮助状态,此时点击屏幕上任何可见的条目,都可获得有关该项的帮助信息。
3.3 MAX+PLUSII 的操作环境
MAX+PLUS II 由设计输入、项目处理、项目检验和器件编程等4部分组成,所有这些部分都集成在一个可视化的操作环境下。
MAX+PLUS II 管理窗口包括项目路径、工作文件标题条、MAX+PLUS II菜单条、快捷工具条和工作区等几个部分。设置好授权码后,启动MAX+PLUS II即进入MAX+PLUS II 管理窗口。
0.5

BCD7段数码管显示译码器电路设计

BCD7段数码管显示译码器电路设计
when 0 =>z1<=‘1’; when 1|3 =>z2<=‘1’; when 4 to 7|2 =>z3<=‘1’; when others =>z4<=‘1’; end case; end process; end art;
常用的并行描述语句有:
• 进程(process)语句、 • 块(block)语句、 • 顺序描述语句的并行版本、 • 并行过程调用语句、 • 元件例化语句、 • 生成语句。
process(s4, s3, s2, s1) variable sel: integer range 0to15;
begin sel:=0;
if s1=‘1’ then sel:=sel+1; end if; if s2=‘1’ then sel:=sel+2; end if; if s3=‘1’ then sel:=sel+4; end if; if s4=‘1’ then sel:=sel+8; end if; z1<=‘0’; z2<=‘0’; z3<=‘0’; z4<=‘0’; case sel is
end case ;
例:根据输入确定输出值
library ieee; use ieee.std_logic_1164.all; entity mux41 is

BCD七段显示译码器电路图

BCD七段显示译码器电路图

BCD七段显示译码器电路图

发布:2011-08-30 | 作者: | 来源: tangyaohua | 查看:3641次| 用户关注:

BCD七段显示译码器发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。分段式显示器(LED数码管)由7条线段围成字型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。LED数码管有共阳、共阴之分。图4-17(a)是共阴式LED数码管的原理图,图4-17(b)是

BCD七段显示译码器

发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。分段式显示器(LED数码管)由7条线段围成字型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。LED数码管有共阳、共阴之分。图4 - 17(a)是共阴式LED数码管的原理图,图4-17(b)是其表示符号。使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图 4 - 17(c)所示。

BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以F a~F g表示),也称4—7译码器。若用它驱动共阴LED数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。例如,当输入8421码DCBA=0100时,应显示,即要求同时点亮b、c、f、g段,熄灭a、d、e段,故译码器的输出应为F a~F g=0110011,这也是一组代码,常称为段码。同理,根据组成0~9这10个字形的要求可以列出8421BCD 七段译码器的真值表,见表4 - 12(未用码组省略)。

7448译码与数码管显示电路

7448译码与数码管显示电路

7448译码与数码管显示电路

1. 7448显示译码器

7448 BCD-七段显示译码器具有16引脚。a,b,c,d,e,f,g接数码显示管a,b,c,d,e,f,g:引脚16引脚接5v电源,8引脚接地,LT,RBI,BI/RBO引脚接5v电源,这里5v电源代表逻辑1。7,1,2,6引脚(对应BCD码的1,2,4,8位)叫BCD码的输入端

7448译码器的引脚图

7段显示译码器7448是输出高电平有效的译码器,其真值表如下图。

7448译码器的真值表

7448除了有实现7段显示译码器基本功能的输入(DCBA)和输出端外,7448还引入了灯测试输入端(LT)和动态灭零输入端(RBI),以及既有输入功能又有输出功能的消隐输入/动态灭零输出(BI/RBO)端。

由7448真值表可获知7448所具有的逻辑功能:

(1)7段译码功能(LT=1,RBI=1)

在灯测试输入端(LT)和动态灭零输入端(RBI)都接无效电平时,输入DCBA 经7448译码,输出高电平有效的7段字符显示器的驱动信号,显示相应字符。除DCBA = 0000外,RBI也可以接低电平,见表1中1~16行。

(2)消隐功能(BI=0)

此时BI/RBO端作为输入端,该端输入低电平信号时,表1倒数第3行,无论LT 和RBI输入什么电平信号,不管输入DCBA为什么状态,输出全为“0”,7段显示器熄灭。该功能主要用于多显示器的动态显示。

(3)灯测试功能(LT = 0)

此时BI/RBO端作为输出端,端输入低电平信号时,表1最后一行,与及DCBA 输入无关,输出全为“1”,显示器7个字段都点亮。该功能用于7段显示器测试,判别是否有损坏的字段。

数字电子课程设计-七段LED显示译码器

数字电子课程设计-七段LED显示译码器

数字电路设计论文

七段LED显示译码器

目录

1.分段式

2.BCD-七段显示译码器

3.七段显示译码器

4.动态灭零输入RBI

5.动态灭零输出RBO

分段式

数码由分布在同一平面上若干段发光的笔画组成,如半导体显示器。半导体数码管——BS201A半导体数码管是分段式半导体显示器件,其基本结构是PN结,即用发光二极管(LED)组成字型来来显示数字。这种数码管的每个线段都是一个发光二极管,因此也称LED数码管或LED七段显示器。七段显示器由发光二极管(light emitting diode ; LED)组合而成,分为共阴及共阳两型,将内部所有LED的阴极接在一起的称为共阴型,内部所有LED的阳极接在一起的称为共阳型,见下图:

因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。

1)输入:8421BCD码,用A3 A2 A1 A0表示(4位)。

2)输出:七段显示,用Ya ~ Yg 表示(7位)

3)逻辑符号:

七段显示译码器

在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果;另一方面用于监视数字系统的工作情况。因此,数字显示电路是许多数字设备不可缺少的部分。数字显示电路通常由译码器、驱动器和显示器等部分组成,如图5.3.5所示。下面对显示器和译码驱动器分别进行介绍。

数码显示器是用来显示数字、文字或符号的器件,现在已有多种不同类型的产品,广泛应用于各种数字设备中,目前数码显示器件正朝着小型、低功耗、平面化方向发展。

数字电子课程设计七段LED显示译码器

数字电子课程设计七段LED显示译码器

七段LED显示译码器目录

1.分段式

2.BCD-七段显示译码器

3.七段显示译码器

4.动态灭零输入RBI

5.动态灭零输出RBO

分段式

数码由分布在同一平面上若干段发光的笔画组成,如半导体显示器。半导体数码管——BS201A半导体数码管是分段式半导体显示器件,其基本结构是PN结,即用发光二极管(LED)组成字型来来显示数字。这种数码管的每个线段都是一个发光二极管,因此也称LED数码管或LED七段显示器。七段显示器由发光二极管(light emitting diode ; LED)组合而成,分为共阴及共阳两型,将内部所有LED的阴极接在一起的称为共阴型,内部所有LED的阳极接在一起的称为共阳型,见下图:

因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。

1)输入:8421BCD码,用A3 A2 A1 A0表示(4位)。

2)输出:七段显示,用Ya ~ Yg 表示(7位)

3)逻辑符号:

七段显示译码器

在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果;另一方面用于监视数字系统的工作情况。因此,数字显示电路是许多数字设备不可缺少的部分。数字显示电路通常由译码器、驱动器和显示器等部分组成,如图

数码显示器是用来显示数字、文字或符号的器件,现在已有多种不同类型的产品,广泛应用于各种数字设备中,目前数码显示器件正朝着小型、低功耗、平面化方向发展。

EDA技术_项目5_BCD-7段显示译码器

EDA技术_项目5_BCD-7段显示译码器

BCD-7段数码管显示译码器
a b a f e d g
c d
a b c a b c d e f g h (b) 共阴极 (c) 共阳极
+VCC
b c
d e f g h
e f (a)
g h 外形图
BCD-7段数码管显示译码器
以共阴极数码管为例, 要显示数字“4”时应 该让b=c=f=g=1, a=d=e=0
1
0 1 0 1 0 1 0 1 0
0Leabharlann Baidu
1 1 0 1 1 1 1 1 0
1
1 1 1 0 0 1 1 1 0
1
0 1 1 1 1 1 1 1 0
0
1 1 0 1 1 0 1 1 0
0
1 0 0 0 1 0 1 0 0
0
0 0 1 1 1 0 1 1 0
0
1 1 1 1 1 0 1 1 0
1
2 3 4 5 6 7 8 9
case语句
CASE语句是一种多分支选择语句,可根据满足的条件直接选择多个顺序语句中 的一个执行。CASE语句可读性好,很容易找到条件和动作的对应关系,经 常用来描述总线、编码和译码等行为。CASE语句是顺序语句必须放在进程 语句中使用。CASE语句的格式如下: CASE表达式IS WHEN选择值1 =>顺序处理语句1; WHEN选择值2 =>顺序处理语句2; … WHEN OTHERS =>顺序处理语句n; END CASE; 选择值可以有4种不同的表达方式: ① 单个普通数值,如4; ② 数值选择范围,如(2 TO 4),表示取值2、3或4; ③ 并列数值,如3 | 5,表示取值为3或者5; ④ 混合方式,以上三种方式的混合。

BCD七段数码管显示译码器和真值表资料

BCD七段数码管显示译码器和真值表资料

B C D七段数码管显示译码器和真值

表资料(总2页)

-本页仅作为预览文档封面,使用时请删除本页-

BCD七段数码管显示译码器和真值表

类别:网文精粹?? 阅读:1865

发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。图4 - 17(a)是共阴式LED数码管的原理图,图4-17(b)是其表示符号。使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图4 - 17(c)所示。

BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以Fa~Fg表示),也称4—7译码器。若用它驱动共阴LED数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。例如,当输入8421码DCBA=0100时,应显示,即要求同时点亮b、c、f、g段,熄灭a、d、e段,故译码器的输出应为Fa~Fg=0110011,这也是一组代码,常称为段码。同理,根据组成0~9这10个字形的要求可以列出8421BCD七段译码器的真值表,见表4 - 12(未用码组省略)。

MSI BCD七段译码器就是根据上述原理组成的,只是为了使用方便,增加了一些辅助控制电路。这类集成译码器产品很多,类型各异,它们的输出结构也各不相同,因而使用时要予以注意。图4-17(c)是BCD七段译码器驱动LED数码管(共阴)的接法。图中,电阻是上拉电阻,也称限流电阻,当译码器内部带有上拉电阻时,则可省去。数字显示译码器的种类很多,现已有将计数器、锁存器、译码驱动电路集于一体的集成器件,还有连同数码显示器也集成在一起的电路可供选用。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

例:信号赋值与变量赋值的比较 信号赋值: architecture rtl of sig is signal a,b : std_logic; -- 定义信号 begin process(a, b) begin a <= b ; b <= a ; end process ; end rtl ; -- 结果是 a 和 b 的值互换
进程语句 process
信号
信号
进程语句 process 信号
进程语句 process
[标记:] process [( 敏感信号表)]
{ 进程说明项} begin { 顺序描述语句} end process [标记]; 敏感信号表:进程内要读取的所有敏感信号 (包括端口)的列表。每一个敏感 信号的变化,都将启动进程。 格式:
常用的并行描述语句有:
进程(process)语句、
块(block)语句、
顺序描述语句的并行版本、
并行过程调用语句、 元件例化语句、 生成语句。
进程(process)语句
进程(process)语句最具VHDL语言特色。提 供了一种用算法描述硬件行为的方法。
特点:
1、进程与进程,或其它并发语句之间的并发性; 2、进程内部的顺序性; 3、进程的启动与挂起; 4、进程与进程,或其它并发语句之间的通信。
case 表达式 is when 分支条件 => 顺序处理语句; when 分支条件 => 顺序处理语句;

when 分支条件 => 顺序处理语句; end case;
其中的分支条件可有以下的形式: when 值 => 顺序处理语句;
when 值 to 值 => 顺序处理语句;
when 值|值|值|…|值 => 顺序处理语句;
ENTITY
ARCHITECTURE Process Process
ports
Sequential Process Combinational Process
ports
component
硬件执行:并行执行(VHDL本质) 仿真执行:顺序执行、并行执行 分为两大类:顺序(Sequential)描述语句 并行(Concurrent)描述语句
BCD-7段数码管显示译码器电路设计
• 一、项目资讯 • • 请根据要求在EDA实验箱上设计BCD-7段数 码管显示译码器电路,要求: • • ⑴使用EDA实验箱上开关设置模块的K4、 K3、K2、K1开关作为BCD码输入; • • ⑵使用EDA实验箱上键盘显示模块中最右边
一、项目资讯
1、BCD-7段数码管显示译码器电路的工作原 理。 2、基于FPGA与VHDL的数字电路与数字系 统设计方法与工作流程。 3、WITH-SELECT 语句与WHEN-ELSE语句 及其应用。 4、进程语句、CASE语句、IF语句及其应用。
a. 一个进程:最后一次赋值有效 b. 多个进程:多源驱动 线与、线或、三态
例:信号的多次赋值
architecture rtl of ex is signal a : std_logic; begin process(…) begin a <= b; … a <= c; end process; end rtl; architecture rtl of ex is signal a : std_logic; begin process(…) begin a <= b; … end process; process(…) begin a <= c; ... end process; end ex;
转向控制语句
转向控制语句通过条件控制开关决定是否执
行一条或几条语句,或重得执行一条或几条语句,
或跳过一条或几条语句。 分为五种: if 语句、case 语句、
loop 语句、next 语句、
exit 语句
case 语句
case 语句常用来描述总线或编码、译码行为。 可读性比if 语句强。 格式如下:
if s1=‘1’ then sel:=sel+1; end if; if s2=‘1’ then sel:=sel+2; end if; if s3=‘1’ then sel:=sel+4; end if; if s4=‘1’ then sel:=sel+8; end if; z1<=‘0’; z2<=‘0’; z3<=‘0’; z4<=‘0’; case sel is when 0 =>z1<=‘1’; when 1|3 =>z2<=‘1’; when 4 to 7|2 =>z3<=‘1’; when others =>z4<=‘1’; end case; end process; end art;
例:用case 语句描述四选一电路
例:case 语句的误用 signal value:integer range 0 to 15; signal out_1 : bit ; case value is end case ; -- 缺少 when条件语句
case value is -- 分支条件不包含2到15 when 0 => out_1 <= ‘1’ ; when 1 => out_1 <=‘0’ ; end case ; case value is -- 在5到10上发生重叠 when 0 to 10 => out_1 <= ‘1’ ; when 5 to 15 => out_1 <= ‘0’ ; end case ;
temp:=temp xor (sig(i) and indicator(i));
end loop ; output <= temp; end process;
以上语句等效为: process(indicator, sig) variable temp : std_logic ; begin temp := ‘0’ ; temp :=temp xor (sig(0) and indicator(0)); temp :=temp xor (sig(1) and indicator(1)); temp :=temp xor (sig(2) and indicator(2)); temp :=temp xor (sig(3) and indicator(3)); output <= temp ; end process ;
变量与信号的差异:
1)赋值方式的不同: 变量:= 表达式; 信号 < = 表达式; 2)硬件实现的功能不同: 信号代表电路单元、功能模块间的互联, 代表实际的硬件连线; 变量代表电路单元内部的操作,代表暂 存的临时数据。
3)有效范围的不同: 信号:程序包、实体、结构体;全局量。 变量:进程、子程序;局部量。
信号名称 {,信号名称 }
3、如果有 wait 语句,则不允许有敏感信号表。 PROCESS (a,b) BEGIN --sequential statements END PROCESS;
PROCESS BEGIN -- sequential statements WAIT ON (a,b) ; END PROCESS;
例:根据输入确定输出值
library ieee; use ieee.std_logic_1164.all; entity mux41 is port(s4,s3,s2,s1: in std_logic; z4,z3,z2,z1: out std_logic); end mux41; architecture art of mux41 is begin process(s4, s3, s2, s1) variable sel: integer range 0to15; begin sel:=0;
ARCHITECTURE {SIGNAL Declarations} label1: PROCESS {VARIABLE Declarations}

label2: PROCESS {VARIABLE Declarations}
4)赋值行为的不同: 信号赋值延迟更新数值、时序电路;
变量赋值立即更新数值、组合电路。 5)信号的多次赋值
图5.1(a)是共阴式LED数码管的原理图,图5.1(b)是其表示符号。使 用时,公共阴极接地,7个阳极a~g由相应的BCD-7段译码器来驱动(控 制),如图5.1(c)所示。图中,电阻是上拉电阻,也称限流电阻,当 译码器内部带有上拉电阻时,则可省去。数字显示译码器的种类很多, 现已有将计数器、锁存器、译码驱动电路集于一体的集成器件,还有 连同数码显示器也集成在一起的电路可供选用。
顺序描述语句: 执行顺序与书写顺序一致,与传统软件设计 语言的特点相似。顺序语句只能用在进程与子程 序中。 可描述组合逻辑、时序逻辑。
常用的顺序描述语句:
赋值语句; if语句;case语句;loop语句; next语句;exit语句;子程序;return语句; wait语句;null语句。
1、变量赋值与信号赋值
BCD-7段显示译码器译码原理
• BCD-7段译码器的输入是4位BCD码(以D、C、B、A 表示),输出是数码管各段的驱动信号(以a~g表 示),也称4—7译码器。若用它驱动共阴LED数码 管,则输出应为高有效,即输出为高(1)时,相应 显示段发光。例如,当输入8421码DCBA=0100时, 应显示 ,即要求同时点亮b、c、f、g段,熄灭 a、d、e段,故译码器的输出应为a~g=0110011, 这也是一组代码,常称为段码。同理,根据组成 0~9这10个字形的要求可以列出8421BCD-7段译码 器的真值表,见表5.1。
二、项目计划
• ⑴能在Max+PlusII软件平台上调试BCD-7 段显示译码电路VHDL程序,并进行功能仿 真; • ⑵能在EDA实验系统上进行硬件验证测试。
本项目涉及的VHDL语法
• VHDL顺序语句(CASE) • 变量与信号的区别 • VHDL并行语句(PROCESS)
VHDL顺序语句(Sequential)
如改为信号,则无法实现原功能: …… signal temp : std_logic; …… process(indicator, sig, temp) begin temp<= ‘0’ ; temp<=temp xor (sig(0) and indicator(0)); temp<=temp xor (sig(1) and indicator(1)); temp<=temp xor (sig(2) and indicator(2)); temp<=temp xor (sig(3) and indicator(3)); output <= temp ; end process ;
变量赋值: architecture rtl of var is begin process variable a,b:std_logic; -- 定义变量 begin a := b ; b := a ; end process ; end rtl; -- 结果是a和b的值都等于b的初值
例:变量赋值实现循环语句功能 process(indicator, sig) variable temp : std_logic; begin temp := ‘0’ ; for i in 0 to 3 loop
BCD-7段显示译码器工作原理
• BCD-7段译码器是由7个发光二极(LED) 管构成,LED由特殊的半导体材料砷化镓、 磷砷化镓等制成,组装成分段式或点阵式 LED显示器件(半导体显示器)。分段式显示 器(LED数码管)由7条线段围成8型,每一段 包含一个发光二极管。外加正向电压时二 极管导通,发出清晰的光,有红、黄、绿 等色。只要按规律控制各发光段的亮、灭, 就可以显示各种字形或符号。
以上三种方式的混合;
when others => 顺序处理语句;
Case 语句使用注意:
1)分支条件的值必须在表达式的取值范围内。 2)两个分支条件不能重叠。 3)CASE语句执行时必须选中,且只能选中一 个分支条件。
4)如果没有others分支条件存在,则分支条
件必须覆盖表达式所有可能的值。
对std百度文库logc, std_logic_vector数据类型要特 别注意使用others分支条件。
相关文档
最新文档