数电实验资料

合集下载

数电实验手册-实验九--计数

数电实验手册-实验九--计数

=================实验九计数、译码、显示综合实验一、实验目的:1.熟悉常用计数器芯片。

2.掌握计数、译码、显示器件的逻辑功能。

3.掌握中规模集成计数器的使用方法。

二、实验仪器与器材:仪器:逻辑实验箱。

器材:74LS390、74LS00、74LS48。

三、预习要求:1.查阅附录六中本次实验所使用的集成块的管脚图与真值表。

2.复习2—10进制译码器和显示电路同计数器配套使用的方法。

3.复习任意进制计数器的设计方法及应用。

四、实验原理:本次实验采用双十进制计数器74LS390,译码器74LS48等器件。

中规模集成计数器的使用方法中规模集成计数器中,二进制或十进制(8421码)加法计数器较为常用,如74LS390是比较典型的中规模异步计数器,逻辑图和管脚图见附录六。

由CP A输入信号,由Q A可产生2分频信号:CP B输入信号,由Q D输出可产生5分频信号;若在器件外部将Q A的CP B连接,可进行8421码十进制计数,若将Q D与CP A连接,即可输出5421码十进制数(对应8421码的输出顺序Q D、Q C、Q B、Q A、应改为Q A、Q D、Q C、Q B)。

图9—1 六进制图9—2八十四进制计数器利用反馈归零法,可得到N进制计数,连接方式如图9—1所示。

两块电路连接,可得到N<100的任意进制计数。

例如按图9—2所示电路连接起来所构成的就是84进制计数器。

注意:若使用上升沿触发的中规模计数器,图9—1和图9—2中不用门1。

若中规模计数器由低电平复位,图9—1和图9—2所示的异步复位即应改为与门3输出连接。

=================五、实验内容与步骤:1.计数、译码、显示:1)将74LS390中的一个计数器接成8421码,Q D—Q A输出接发光二极管,可按图9—3接线2)连续按动单次脉冲P1的按纽。

观察L1、L2、L3、L4灯的亮暗是否符合8421码。

3)测试数码显示器,判断其是共阴还是共阳,并确定出a~f七段所对应的管脚,然后将译码器74LS48和数码显示器连接。

数字电子技术实验ppt

数字电子技术实验ppt

根据逻辑门的功能和输入信号的变化,输出信号只会在特定的
输入组合下发生变化。
组合逻辑电路的优点
03
结构简单,易于分析和设计。
组合逻辑电路的设计
1 2 3
采用基本逻辑门进行设计
使用AND、OR、NOT三个基本逻辑门进行组 合和连接,实现所需的逻辑功能。
采用真值表描述逻辑功能
为每个输入端口定义一个二进制数代表的开关 状态,并列出所有可能的输入组合和对应的输 出结果。
转化为电路图
根据真值表,使用逻辑门将输入和输出端口连 接起来,构成组合逻辑电路的电路图。
组合逻辑电路的应用
实现基本运算功能
如加法器、比较器、多路选择器等。
用于数据传输
如译码器、编码器等。
用于故障检测与诊断
通过设计特定的组合逻辑电路,可以检测系统或设备的故障并 进行诊断。
03
实验三:时序逻辑电路
时序逻辑电路的原理
时序逻辑电路的基本结构
包含触发器、组合逻辑电路和反馈 回路。
触发器的种类及其特性
例如,JK触发器、D触发器等。
组合逻辑电路的功能
例如,编码器、译码器、比较器等 。
反馈回路的作用
例如,通过反馈实现数据的存储和 传递。
时序逻辑电路的设计
设计步骤
明确电路的功能需求→选 择合适的触发器和组合逻 辑电路→设计反馈回路→ 调整电路参数。
THANKS
谢谢您的观看
设计实例
例如,设计一个四进制计 数器。
设计工具
例如,Multisim、 Proteus等。
时序逻辑电路的应用
计数器
用于计数、分频等。
移位寄存器
用于数据移位、串/并转换等。
寄存器

数电_实验报告

数电_实验报告

一、实验目的1. 理解数字电路的基本组成和工作原理;2. 掌握常用数字电路元器件的识别和测试方法;3. 培养数字电路设计和分析能力;4. 熟悉数字电路实验仪器的使用方法。

二、实验内容1. 逻辑门电路实验:包括与门、或门、非门、异或门等;2. 组合逻辑电路实验:包括编码器、译码器、数据选择器等;3. 时序逻辑电路实验:包括触发器、计数器、寄存器等;4. 数字电路仿真实验:使用Multisim软件进行数字电路仿真。

三、实验原理1. 逻辑门电路:逻辑门电路是数字电路的基本单元,根据输入信号的逻辑关系,输出相应的逻辑信号。

常见的逻辑门电路有与门、或门、非门、异或门等。

2. 组合逻辑电路:组合逻辑电路由逻辑门电路组成,其输出仅与当前输入信号有关,与电路历史状态无关。

常见的组合逻辑电路有编码器、译码器、数据选择器等。

3. 时序逻辑电路:时序逻辑电路由触发器组成,其输出不仅与当前输入信号有关,还与电路历史状态有关。

常见的时序逻辑电路有触发器、计数器、寄存器等。

四、实验步骤1. 逻辑门电路实验:(1)搭建与门、或门、非门、异或门等逻辑门电路;(2)观察输入信号与输出信号之间的关系,验证逻辑门电路的功能;(3)测试逻辑门电路的延迟时间。

2. 组合逻辑电路实验:(1)搭建编码器、译码器、数据选择器等组合逻辑电路;(2)观察输入信号与输出信号之间的关系,验证组合逻辑电路的功能;(3)测试组合逻辑电路的延迟时间。

3. 时序逻辑电路实验:(1)搭建触发器、计数器、寄存器等时序逻辑电路;(2)观察输入信号、时钟信号与输出信号之间的关系,验证时序逻辑电路的功能;(3)测试时序逻辑电路的延迟时间。

4. 数字电路仿真实验:(1)使用Multisim软件搭建数字电路;(2)设置输入信号和时钟信号,观察输出信号的变化;(3)分析仿真结果,验证数字电路的功能。

五、实验结果与分析1. 逻辑门电路实验:实验结果表明,与门、或门、非门、异或门等逻辑门电路能够实现预期的逻辑功能。

数电实验报告实验

数电实验报告实验

一、实验目的1. 理解和掌握数字电路的基本原理和设计方法。

2. 培养动手能力和实验技能。

3. 提高分析问题和解决问题的能力。

二、实验原理数字电路是一种以二进制为基础的电路,其基本元件是逻辑门和触发器。

本实验主要涉及以下几种逻辑门:与门、或门、非门、异或门、同或门、与非门、或非门等。

1. 与门(AND Gate):当所有输入端都为高电平时,输出才为高电平。

2. 或门(OR Gate):当至少一个输入端为高电平时,输出为高电平。

3. 非门(NOT Gate):对输入信号取反。

4. 异或门(XOR Gate):当输入端信号不同时,输出为高电平。

5. 同或门(NOR Gate):当输入端信号相同时,输出为高电平。

6. 与非门(NAND Gate):与门和非门的组合。

7. 或非门(NOR Gate):或门和非门的组合。

三、实验器材1. 数字电路实验箱2. 逻辑门芯片3. 电源4. 连接线5. 测试仪器四、实验步骤1. 组成基本逻辑门电路:根据实验原理,搭建与门、或门、非门、异或门、同或门、与非门、或非门等基本逻辑门电路。

2. 测试电路功能:使用测试仪器对搭建的电路进行测试,验证电路是否满足基本逻辑功能。

3. 组成组合逻辑电路:根据实验要求,搭建组合逻辑电路,如全加器、半加器、译码器、编码器等。

4. 测试组合逻辑电路:使用测试仪器对搭建的组合逻辑电路进行测试,验证电路是否满足设计要求。

5. 组成时序逻辑电路:根据实验要求,搭建时序逻辑电路,如触发器、计数器、寄存器等。

6. 测试时序逻辑电路:使用测试仪器对搭建的时序逻辑电路进行测试,验证电路是否满足设计要求。

五、实验结果与分析1. 基本逻辑门电路测试结果:根据测试数据,搭建的与门、或门、非门、异或门、同或门、与非门、或非门等基本逻辑门电路均满足设计要求。

2. 组合逻辑电路测试结果:根据测试数据,搭建的全加器、半加器、译码器、编码器等组合逻辑电路均满足设计要求。

数字电子电路》综合性设计性实验

数字电子电路》综合性设计性实验
强化实验操作
加强实验操作训练,提高学生的动 手能力和实验效率。
相关技术发展与展望
集成电路技术
随着集成电路技术的发展,数字电子电路的设计 和实现将更加高效和可靠。
人工智能技术
人工智能技术在数字电子电路中的应用将进一步 拓展,为电路设计带来更多可能性。
5G通信技术
5G通信技术的发展将促进数字电子电路在通信领 域的应用和发展。
实验总结与反思
总结实验成果
对整个实验过程进行总结,概括实验的主要成果和收获。
反思与展望
对实验中存在的问题和不足进行反思,并提出改进措施和展望,为后续实验提供借鉴和指导。
06
实验扩展与提高
实验优化建议
增加实验难度
通过增加实验的复杂性和难度, 提高学生的实验技能和解决问题
的能力。
引入新技术
将最新的数字电子技术引入实验中, 使学生能够掌握最新的知识和技术。
确定设计方案后,绘制电路原 理图和PCB版图。
根据电路图,搭建实验电路并 完成硬件调试。
进行软件编程和调试,实现所 需功能。
进行系统测试和性能评估,完 成实验报告。
04
实验操作与调试
实验操作流程
电路设计
根据实验要求,设计合适的电 路图,确保电路功能符合要求。
程序编写
根据电路功能,编写合适的程 序,实现电路的控制和数据处 理。
数据处理与分析
对实验数据进行处理和分析,包 括计算误差、对比理论值与实际 值等,以评估实验结果的准确性 和可靠性。
实验结果对比与讨论
对比不同方案结果
将采用不同方案得到的实验结果进行 对比,分析各种方案的优缺点,为后 续实验提供参考。
结果讨论
对实验结果进行深入讨论,探讨可能 影响实验结果的因素,以及如何改进 实验方法和技巧。

数电实验报告答案

数电实验报告答案

实验名称:数字电路基础实验实验目的:1. 熟悉数字电路的基本原理和基本分析方法。

2. 掌握数字电路实验设备的使用方法。

3. 培养动手实践能力和分析问题、解决问题的能力。

实验时间:2023年X月X日实验地点:实验室XX室实验仪器:1. 数字电路实验箱2. 万用表3. 双踪示波器4. 数字信号发生器5. 短路线实验内容:一、实验一:基本逻辑门电路实验1. 实验目的- 熟悉与门、或门、非门的基本原理和特性。

- 学习逻辑门电路的测试方法。

2. 实验步骤- 连接实验箱,设置输入端。

- 使用万用表测量输出端电压。

- 记录不同输入组合下的输出结果。

- 分析实验结果,验证逻辑门电路的特性。

3. 实验结果与分析- 实验结果与理论预期一致,验证了与门、或门、非门的基本原理。

- 通过实验,加深了对逻辑门电路特性的理解。

二、实验二:组合逻辑电路实验1. 实验目的- 理解组合逻辑电路的设计方法。

- 学习使用逻辑门电路实现组合逻辑电路。

2. 实验步骤- 根据设计要求,绘制组合逻辑电路图。

- 连接实验箱,设置输入端。

- 测量输出端电压。

- 记录不同输入组合下的输出结果。

- 分析实验结果,验证组合逻辑电路的功能。

3. 实验结果与分析- 实验结果符合设计要求,验证了组合逻辑电路的功能。

- 通过实验,掌握了组合逻辑电路的设计方法。

三、实验三:时序逻辑电路实验1. 实验目的- 理解时序逻辑电路的基本原理和特性。

- 学习使用触发器实现时序逻辑电路。

2. 实验步骤- 根据设计要求,绘制时序逻辑电路图。

- 连接实验箱,设置输入端和时钟信号。

- 使用示波器观察输出波形。

- 记录不同输入组合和时钟信号下的输出结果。

- 分析实验结果,验证时序逻辑电路的功能。

3. 实验结果与分析- 实验结果符合设计要求,验证了时序逻辑电路的功能。

- 通过实验,加深了对时序逻辑电路特性的理解。

四、实验四:数字电路仿真实验1. 实验目的- 学习使用数字电路仿真软件进行电路设计。

数电实验报告

数电实验报告

数电实验报告实验目的:本实验旨在通过实际操作,加深对数电原理的理解,掌握数字电子技术的基本原理和方法,培养学生的动手能力和实际应用能力。

实验仪器和设备:1. 示波器。

2. 信号发生器。

3. 逻辑分析仪。

4. 电源。

5. 万用表。

6. 示教板。

7. 电路元件。

实验原理:数电实验是以数字电子技术为基础,通过实验操作来验证理论知识的正确性。

数字电子技术是一种以数字信号为工作对象,利用电子器件实现逻辑运算、数字存储、数字传输等功能的技术。

本次实验主要涉及数字逻辑电路的设计与实现,包括基本逻辑门的组合、时序逻辑电路、触发器等。

实验内容:1. 实验一,基本逻辑门的实验。

在示教板上搭建与非门、或门、与门、异或门等基本逻辑门电路,通过输入不同的逻辑信号,观察输出的变化情况,并记录实验数据。

2. 实验二,时序逻辑电路的实验。

利用触发器、计数器等元件,设计并搭建一个简单的时序逻辑电路,通过改变输入信号,验证电路的功能和正确性。

3. 实验三,逻辑分析仪的应用。

利用逻辑分析仪对实验中的数字信号进行观测和分析,掌握逻辑分析仪的使用方法,提高实验数据的准确性。

实验步骤:1. 按照实验指导书的要求,准备好实验仪器和设备,检查电路连接是否正确。

2. 依次进行各个实验内容的操作,记录实验数据和观察现象。

3. 对实验结果进行分析和总结,查找可能存在的问题并加以解决。

实验结果与分析:通过本次实验,我们成功搭建了基本逻辑门电路,观察到了不同输入信号对输出的影响,验证了逻辑门的功能和正确性。

在时序逻辑电路实验中,我们设计并搭建了一个简单的计数器电路,通过实验数据的记录和分析,验证了电路的正常工作。

逻辑分析仪的应用也使我们对数字信号的观测和分析有了更深入的了解。

实验总结:本次数电实验不仅加深了我们对数字电子技术的理解,还培养了我们的动手能力和实际应用能力。

在实验过程中,我们遇到了一些问题,但通过认真分析和思考,最终都得到了解决。

这次实验让我们深刻体会到了理论与实践相结合的重要性,也让我们对数字电子技术有了更加深入的认识。

数电实验实验报告

数电实验实验报告

dry实验一组合逻辑电路分析一.试验用集成电路引脚图74LS00集成电路74LS20集成电路四2输入与非门双4输入与非门二.实验内容1.实验一X12.5 VABCDU1A74LS00NU2AU3A74LS00N逻辑指示灯:灯亮表示“1”,灯灭表示“0”ABCD按逻辑开关,“1”表示高电平,“0”表示低电平自拟表格并记录:A B C D Y A B C D Y0 0 0 0 0 1 0 0 0 00 0 0 1 0 1 0 0 1 00 0 1 0 0 1 0 1 0 00 0 1 1 1 1 0 1 1 10 1 0 0 0 1 1 0 0 10 1 0 1 0 1 1 0 1 10 1 1 0 0 1 1 1 0 10 1 1 1 1 1 1 1 1 12.实验二密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。

否则,报警信号为“1”,则接通警铃。

试分析密码锁的密码ABCD是什么?ABCDABCD接逻辑电平开关。

最简表达式为:X1=AB’C’D 密码为:1001三.实验体会:1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。

2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片,和使用仿真软件来设计和构造逻辑电路来求解。

实验二组合逻辑实验(一)半加器和全加器一.实验目的1.熟悉用门电路设计组合电路的原理和方法步骤二.预习内容1.复习用门电路设计组合逻辑电路的原理和方法步骤。

2.复习二进制数的运算。

3.用“与非门”设计半加器的逻辑图。

4.完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。

5.完成用“异或”门设计的3变量判奇电路的原理图。

三.元件参考依次为74LS283、74LS00、74LS51、74LS136其中74LS51:Y=(AB+CD)’,74LS136:Y=A⊕B(OC门)四.实验内容1.用与非门组成半加器,用或非门、与或非门、与非门组成全加器(电路自拟)U1NOR2NOR2U3NOR2U4NOR2U5NOR2SC半加器U1A74LS136DU1B74LS136DU2C74LS00DR11kΩR21kΩVCC5VU3A74LS51D81121391011J1Key = AJ2Key = BJ3Key = CSi2.5 VCi2.5 V被加数A i0 1 0 1 0 1 0 12.用异或门设计3变量判奇电路,要求变量中1的个数为奇数是,输出为1,否则为0.3.“74LS283”全加器逻辑功能测试五.实验体会:1.通过这次实验,掌握了熟悉半加器与全加器的逻辑功能2.这次实验的逻辑电路图比较复杂,涉及了异或门、与或非门、与非门三种逻辑门,在接线时应注意不要接错。

数电实验报告 数据选择器及其应用

数电实验报告  数据选择器及其应用

实验2实验报告数据选择器及其应用一、实验目的1.了解组合逻辑电路的设计步骤、分析方法和测试方法;2.掌握数据选择器的工作原理与逻辑功能;3.掌握双四选一数据选择器74LS153的应用。

二、实验设备1.数字电路实验箱2 、数字双踪示波器3.集成电路: 74LS004、集成电路: 74LS153三、实验内容1.测试双四选一数据选择器74LS153的逻辑功能;2、设某一导弹发射控制机构有两名司令员A.B和两名操作员C.D, 只有当两名司令员均同意发射导弹攻击目标且有操作员操作, 则发射导弹F;3.用74LS00与74LS153设计一位全加器。

四、实验结果1、测试双四选一数据选择器74LS153的逻辑功能。

如图S5和S6分别接A和B, 负责输入地址;S1.S2.S3.S4为上面选择器的四个输入;S7、S8、S9、S10为下面选择器的四个输入。

举例说明:如图所示, 当S5和S6都输入高电平时, 选择输出1C3和2C3的内容, 即S4和S10的输入均为高电平, 小灯亮。

设某一导弹发射控制机构有两名司令员A.B和两名操作员C.D, 只有当两名司令员均同意发射导弹攻击目标且有操作员操作, 则发射导弹F。

由题意可得出逻辑表达式如下:F=AB(C+D)分析: 由于只有A.B都为高电平时F才有可能输出高电平, 所以让A和B作为地址输入端。

而当A.B均为高电平时, C和D任意一个为高电平则F为高电平。

所以用74LS00实现C和电路图如下:S1、S2接地址选择端, S3、S4先做或运算再接1C3端。

2、用74LS00和可以通B S CI过降维将输入位A和B作为地址选择位,进位位和以及0和1作为被选择数据输入,表示S和CO。

真值表如下:A0 0 CI 低0 1 CI非CI1 0 CI非CI1 1 CI 高五、故障排除在做第二个实验内容的时候, 发现A.B值不是高电平的时候小灯也会亮。

经过检查电路发现1C0, 1C1, 1C2悬空了, 相当于接了高电平。

本科数电实验教案

本科数电实验教案

本科数电实验教案一、实验目的和要求1. 实验目的(1)掌握数字电路的基本原理和实验技能。

(2)熟悉常见数字电路器件的使用和测试方法。

(3)培养动手能力、观察能力和问题解决能力。

2. 实验要求(1)预习相关理论知识,了解实验原理。

(2)认真观察实验现象,做好实验记录。

二、实验内容1. 实验一:数字电路基本原理验证(1)实验目的:验证数字电路的基本原理,如逻辑门、逻辑函数、逻辑表达式等。

(2)实验器材:逻辑门器件、逻辑函数发生器、数字万用表等。

(3)实验步骤:①搭建逻辑门电路,观察输出信号。

②使用逻辑函数发生器产生不同输入信号,观察输出信号变化。

③分析实验现象,验证逻辑门的功能。

2. 实验二:触发器及其应用(1)实验目的:了解触发器的工作原理,掌握触发器的使用方法。

(2)实验器材:触发器器件、时钟信号发生器、数字万用表等。

(3)实验步骤:①搭建触发器电路,给定位信号和时钟信号。

②观察触发器的状态变化,分析触发条件。

③研究触发器在不同输入信号下的工作状态,探讨触发器的应用。

3. 实验三:计数器及其应用(1)实验目的:掌握计数器的工作原理,了解计数器的应用。

(2)实验器材:计数器器件、时钟信号发生器、数字万用表等。

(3)实验步骤:①搭建计数器电路,给定位信号和时钟信号。

②观察计数器的状态变化,分析计数条件。

③研究计数器在不同输入信号下的工作状态,探讨计数器的应用。

4. 实验四:编码器及其应用(1)实验目的:了解编码器的工作原理,掌握编码器的使用方法。

(2)实验器材:编码器器件、数字万用表等。

(3)实验步骤:①搭建编码器电路,给输入信号。

②观察编码器输出信号,分析编码规则。

③研究编码器在不同输入信号下的输出结果,探讨编码器的应用。

5. 实验五:译码器及其应用(1)实验目的:掌握译码器的工作原理,了解译码器的应用。

(2)实验器材:译码器器件、数字万用表等。

(3)实验步骤:①搭建译码器电路,给输入信号。

②观察译码器输出信号,分析译码规则。

数电设计实验报告

数电设计实验报告

一、实验目的1. 熟悉数字电路的基本组成和设计方法。

2. 学习组合逻辑电路和时序逻辑电路的设计与实现。

3. 掌握Verilog HDL语言进行数字电路的设计与仿真。

4. 提高数字电路分析与设计能力。

二、实验内容本次实验主要设计一个数字钟电路,要求实现以下功能:1. 显示时、分、秒,时间周期为24小时。

2. 时间基准为1秒对应1Hz的时钟信号。

3. 可通过按键进行校时。

三、实验原理数字钟电路主要由以下部分组成:1. 振荡器:产生基准时钟信号。

2. 分频器:将基准时钟信号分频,得到1Hz的时钟信号。

3. 计数器:对1Hz的时钟信号进行计数,实现秒、分、时的计时。

4. 显示器:将计时结果显示出来。

5. 校时电路:通过按键进行校时操作。

四、实验步骤1. 使用Verilog HDL语言编写数字钟电路的代码。

2. 使用ModelSim进行仿真,验证电路功能。

3. 将代码编译并下载到FPGA芯片上。

4. 在FPGA开发板上进行实验,测试电路功能。

五、实验代码```verilogmodule digital_clock(input clk, // 基准时钟信号input rst_n, // 复位信号,低电平有效 input set, // 校时按键output [5:0] h, // 时output [5:0] m, // 分output [5:0] s // 秒);reg [23:0] counter; // 计数器reg [23:0] h_counter; // 时计数器reg [23:0] m_counter; // 分计数器reg [23:0] s_counter; // 秒计数器// 时计数器always @(posedge clk or negedge rst_n) beginif (!rst_n) beginh_counter <= 24'd0;end else beginif (counter >= 24'd86400) beginh_counter <= h_counter + 24'd1;counter <= 24'd0;end else begincounter <= counter + 24'd1;endendend// 分计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) beginm_counter <= 24'd0;end else beginif (h_counter >= 24'd24) beginm_counter <= m_counter + 24'd1; h_counter <= 24'd0;end else beginm_counter <= m_counter + 24'd1; endendend// 秒计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) begins_counter <= 24'd0;end else beginif (m_counter >= 24'd59) begins_counter <= s_counter + 24'd1;m_counter <= 24'd0;end else begins_counter <= s_counter + 24'd1;endendend// 时、分、秒输出assign h = h_counter[5:0];assign m = m_counter[5:0];assign s = s_counter[5:0];endmodule```六、实验结果1. 仿真结果:使用ModelSim对代码进行仿真,验证电路功能。

数电实验报告东大

数电实验报告东大

一、实验目的1. 理解数字电路的基本组成和基本原理。

2. 掌握常用数字电路的分析和设计方法。

3. 提高动手实践能力,加深对数字电路理论知识的理解。

二、实验内容本次实验主要包含以下内容:1. 数字电路基础实验2. 组合逻辑电路实验3. 时序逻辑电路实验三、实验仪器与设备1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 计算器5. 实验指导书四、实验原理1. 数字电路基础实验:通过实验了解数字电路的基本组成和基本原理,包括逻辑门、编码器、译码器等。

2. 组合逻辑电路实验:通过实验掌握组合逻辑电路的分析和设计方法,包括加法器、编码器、译码器、数据选择器等。

3. 时序逻辑电路实验:通过实验掌握时序逻辑电路的分析和设计方法,包括触发器、计数器、寄存器等。

五、实验步骤1. 数字电路基础实验- 连接实验箱,检查电路连接是否正确。

- 按照实验指导书的要求,进行逻辑门、编码器、译码器等电路的实验。

- 观察实验结果,分析实验现象,并记录实验数据。

2. 组合逻辑电路实验- 连接实验箱,检查电路连接是否正确。

- 按照实验指导书的要求,进行加法器、编码器、译码器、数据选择器等电路的实验。

- 观察实验结果,分析实验现象,并记录实验数据。

3. 时序逻辑电路实验- 连接实验箱,检查电路连接是否正确。

- 按照实验指导书的要求,进行触发器、计数器、寄存器等电路的实验。

- 观察实验结果,分析实验现象,并记录实验数据。

六、实验结果与分析1. 数字电路基础实验- 通过实验,验证了逻辑门、编码器、译码器等电路的基本原理和功能。

- 实验结果符合理论预期,验证了数字电路的基本组成和基本原理。

2. 组合逻辑电路实验- 通过实验,掌握了组合逻辑电路的分析和设计方法。

- 实验结果符合理论预期,验证了组合逻辑电路的基本原理。

3. 时序逻辑电路实验- 通过实验,掌握了时序逻辑电路的分析和设计方法。

- 实验结果符合理论预期,验证了时序逻辑电路的基本原理。

数电实验——精选推荐

数电实验——精选推荐

实验一门电路一、实验目的1. 熟练掌握用示波器观察波形和测量时间参数的方法。

2. 熟练掌握数字电子技术学习机的使用方法。

3.正确理解TTL与非门(74系列)的逻辑功能、外部特性及主要的技术指标,掌握验证与非门逻辑功能及测量外部特性的方法。

二、实验设备示波器,信号发生器,万用表,学习机。

三、设计要求74LS10与非门电压要求,管脚排列参见附录电源电压Vcc:5V±0.5V高电平输入电压:VIH>2V低电平输入电压:VIL<0.8V1. 测试与非门的逻辑功能2. 与非门外特性的测试(1)电压传输特性的测试电压传输特性是指输出电压Vo随输入电压Vi变化的规律。

Vo=f(Vi)设计测试电路图,自制数据表格。

改变Rw的值,测量Vo与Vi,填入自制表中。

画出特性曲线,并找出输出的高低电平(VOH 和VOL)。

(2)输入特性的测试Ii=f(Vi)设计测试电路图,自制数据表格。

改变Rw,测Ii和Vi。

画出特性曲线,并找出输入短路电流ILS 和输入高电平电流IIH。

(3)输入负载特性的测试Vi=f(Rw)方法同上。

(4)高电平输出特性的测试V OH =f(Io)|Vi=低电平方法同上。

当IOH =400uA时,测出VOH的值。

高电平输出特性测试到此点为止。

(5)低电平输出特性的测试V OL =f(Io) |Vi=高电平方法同上。

当VOL =0.2V时,测Io的值,记为IOL,IOL就是允许灌入与非门的最大电流。

3. 与非门动态参数平均传输延迟时间tpd与非门可以作为非门使用。

由于输入与输出之间存在传输延迟,所以将3个门(或奇数门)首尾相接就构成一个环形振荡器。

如图1-1所示。

由分析可知,这个电路的振荡周期和非门的平均延迟时间的关系为tpd≈T/6。

用示波器测出其振荡频率,(若比频率太高,可适当增加非门的个数,可以降低频率),即可求得门电路的tpd值。

图1-1环形振荡器四、设计和实验方法1. 用示波器测量平均传输延迟时间tpd时,结合示波器时间量程扩大5倍的旋钮进行测量周期。

数电项目实验报告(3篇)

数电项目实验报告(3篇)

第1篇一、实验目的1. 理解数字电路的基本概念和组成原理。

2. 掌握常用数字电路的分析方法。

3. 培养动手能力和实验技能。

4. 提高对数字电路应用的认识。

二、实验器材1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 短路线5. 电阻、电容等元器件6. 连接线三、实验原理数字电路是利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。

本实验通过搭建简单的数字电路,验证其功能,并学习数字电路的分析方法。

四、实验内容及步骤1. 逻辑门实验(1)搭建与门、或门、非门等基本逻辑门电路。

(2)使用数字信号发生器产生不同逻辑电平的信号,通过示波器观察输出波形。

(3)分析输出波形,验证逻辑门电路的正确性。

2. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察触发器的输出波形。

(3)分析输出波形,验证触发器电路的正确性。

3. 计数器实验(1)搭建异步计数器、同步计数器等基本计数器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察计数器的输出波形。

(3)分析输出波形,验证计数器电路的正确性。

4. 寄存器实验(1)搭建移位寄存器、同步寄存器等基本寄存器电路。

(2)使用数字信号发生器产生时钟信号和输入信号,通过示波器观察寄存器的输出波形。

(3)分析输出波形,验证寄存器电路的正确性。

五、实验结果与分析1. 逻辑门实验通过实验,验证了与门、或门、非门等基本逻辑门电路的正确性。

实验结果表明,当输入信号满足逻辑关系时,输出信号符合预期。

2. 触发器实验通过实验,验证了D触发器、JK触发器、T触发器等基本触发器电路的正确性。

实验结果表明,触发器电路能够根据输入信号和时钟信号产生稳定的输出波形。

3. 计数器实验通过实验,验证了异步计数器、同步计数器等基本计数器电路的正确性。

实验结果表明,计数器电路能够根据输入时钟信号进行计数,并输出相应的输出波形。

数电实验报告

数电实验报告

一、实验目的1. 理解数字电路的基本组成和工作原理。

2. 掌握常用数字电路的设计方法和应用。

3. 熟悉数字电路实验设备和工具的使用。

4. 培养实际操作能力和创新思维。

二、实验原理数字电路是利用数字信号进行信息处理和传输的电路。

它主要由逻辑门、触发器、计数器、译码器等基本单元组成。

本实验主要涉及以下几种数字电路:1. 逻辑门:实现基本的逻辑运算,如与、或、非、异或等。

2. 触发器:存储一位二进制信息,是实现时序逻辑的基础。

3. 计数器:对输入脉冲进行计数,广泛应用于计时、分频等领域。

4. 译码器:将二进制代码转换为其他形式的信号。

三、实验内容1. 逻辑门电路实验:验证基本逻辑门的功能,包括与门、或门、非门、异或门等。

2. 触发器电路实验:验证D触发器、JK触发器、SR触发器等的功能。

3. 计数器电路实验:设计并验证二进制计数器、十进制计数器、可逆计数器等。

4. 译码器电路实验:设计并验证二进制译码器、七段显示译码器等。

四、实验步骤1. 逻辑门电路实验:- 将基本逻辑门电路连接到实验板上。

- 输入不同的逻辑信号,观察输出结果。

- 验证基本逻辑门的功能。

2. 触发器电路实验:- 将D触发器、JK触发器、SR触发器等电路连接到实验板上。

- 输入不同的时钟信号和输入信号,观察输出结果。

- 验证触发器的功能。

3. 计数器电路实验:- 设计并搭建二进制计数器、十进制计数器、可逆计数器等电路。

- 输入不同的时钟信号,观察计数器的输出结果。

- 验证计数器的功能。

4. 译码器电路实验:- 设计并搭建二进制译码器、七段显示译码器等电路。

- 输入不同的二进制代码,观察译码器的输出结果。

- 验证译码器的功能。

五、实验结果与分析1. 逻辑门电路实验:通过实验验证了基本逻辑门的功能,如与门、或门、非门、异或门等。

2. 触发器电路实验:通过实验验证了D触发器、JK触发器、SR触发器等的功能,掌握了触发器的基本工作原理。

3. 计数器电路实验:通过实验设计并验证了二进制计数器、十进制计数器、可逆计数器等,掌握了计数器的设计方法和应用。

数电综合实验报告(3篇)

数电综合实验报告(3篇)

第1篇一、实验目的1. 巩固和加深对数字电路基本原理和电路分析方法的理解。

2. 掌握数字电路仿真工具的使用,提高设计能力和问题解决能力。

3. 通过综合实验,培养团队合作精神和实践操作能力。

二、实验内容本次实验主要分为以下几个部分:1. 组合逻辑电路设计:设计一个4位二进制加法器,并使用仿真软件进行验证。

2. 时序逻辑电路设计:设计一个4位计数器,并使用仿真软件进行验证。

3. 数字电路综合应用:设计一个数字时钟,包括秒、分、时显示,并使用仿真软件进行验证。

三、实验步骤1. 组合逻辑电路设计:(1)根据题目要求,设计一个4位二进制加法器。

(2)使用Verilog HDL语言编写代码,实现4位二进制加法器。

(3)使用ModelSim软件对加法器进行仿真,验证其功能。

2. 时序逻辑电路设计:(1)根据题目要求,设计一个4位计数器。

(2)使用Verilog HDL语言编写代码,实现4位计数器。

(3)使用ModelSim软件对计数器进行仿真,验证其功能。

3. 数字电路综合应用:(1)根据题目要求,设计一个数字时钟,包括秒、分、时显示。

(2)使用Verilog HDL语言编写代码,实现数字时钟功能。

(3)使用ModelSim软件对数字时钟进行仿真,验证其功能。

四、实验结果与分析1. 组合逻辑电路设计:通过仿真验证,所设计的4位二进制加法器能够正确实现4位二进制加法运算。

2. 时序逻辑电路设计:通过仿真验证,所设计的4位计数器能够正确实现4位计数功能。

3. 数字电路综合应用:通过仿真验证,所设计的数字时钟能够正确实现秒、分、时显示功能。

五、实验心得1. 通过本次实验,加深了对数字电路基本原理和电路分析方法的理解。

2. 掌握了数字电路仿真工具的使用,提高了设计能力和问题解决能力。

3. 培养了团队合作精神和实践操作能力。

六、实验改进建议1. 在设计组合逻辑电路时,可以考虑使用更优的电路结构,以降低功耗。

2. 在设计时序逻辑电路时,可以尝试使用不同的时序电路结构,以实现更复杂的逻辑功能。

数字电路实验

数字电路实验

数字电路实验实验目的本实验旨在通过实际操作,加深对数字电路原理的理解并巩固相关知识,提高学生的动手能力和解决问题的能力。

实验设备与材料•逻辑门芯片•示波器•数字电路实验箱•多用途测试仪实验内容1.实验一:数字逻辑门的基本操作–使用真值表法验证与门、或门、非门、与非门的逻辑功能。

–使用数字电路实验箱上的逻辑门芯片,接线实现与门、或门、非门、与非门的功能,并通过示波器验证。

–记录实验过程和实验结果,并对结果进行分析和讨论。

2.实验二:二进制加法器的设计与实现–使用逻辑门芯片,设计并实现一个二进制加法器。

–验证二进制加法器的功能,记录实验过程和实验结果,并分析可能出现的问题。

–对比全加器和半加器的功能和实现方式,并进行思考和讨论。

3.实验三:多路选择器的设计与实现–使用逻辑门芯片,设计并实现一个多路选择器。

–验证多路选择器的功能,记录实验过程和实验结果。

–探讨多路选择器的应用场景,并思考其在电路设计中的作用。

4.实验四:时序电路的设计与实现–了解时序电路的原理和基本概念。

–使用逻辑门芯片,设计并实现一个简单的时序电路。

–验证时序电路的功能,记录实验过程和实验结果,并进行分析和总结。

实验步骤1.实验一:数字逻辑门的基本操作–根据真值表,通过逻辑门芯片进行电路的设计和实现。

–使用示波器对逻辑门的输出进行观察,记录实验结果。

–思考并讨论逻辑门的实现原理和应用场景。

2.实验二:二进制加法器的设计与实现–熟悉二进制加法器的原理和设计方法。

–使用逻辑门芯片,设计并实现一个4位二进制加法器。

–验证加法器的功能,记录实验结果,并分析可能出现的问题。

–比较全加器和半加器的功能和实现方式,思考其在电路设计中的应用。

3.实验三:多路选择器的设计与实现–了解多路选择器的原理和应用场景。

–使用逻辑门芯片,设计并实现一个4位多路选择器。

–验证选择器的功能,记录实验结果,并思考其在电路设计中的作用。

4.实验四:时序电路的设计与实现–学习时序电路的基本概念和实现方法。

数字电路实验的实验报告(3篇)

数字电路实验的实验报告(3篇)

第1篇一、实验目的1. 理解和掌握数字电路的基本原理和组成。

2. 熟悉数字电路实验设备和仪器的基本操作。

3. 培养实际动手能力和解决问题的能力。

4. 提高对数字电路设计和调试的实践能力。

二、实验器材1. 数字电路实验箱一台2. 74LS00若干3. 74LS74若干4. 74LS138若干5. 74LS20若干6. 74LS32若干7. 电阻、电容、二极管等元器件若干8. 万用表、示波器等实验仪器三、实验内容1. 基本门电路实验(1)验证与非门、或非门、异或门等基本逻辑门的功能。

(2)设计简单的组合逻辑电路,如全加器、译码器等。

2. 触发器实验(1)验证D触发器、JK触发器、T触发器等基本触发器的功能。

(2)设计简单的时序逻辑电路,如计数器、分频器等。

3. 组合逻辑电路实验(1)设计一个简单的组合逻辑电路,如4位二进制加法器。

(2)分析电路的输入输出关系,验证电路的正确性。

4. 时序逻辑电路实验(1)设计一个简单的时序逻辑电路,如3位二进制计数器。

(2)分析电路的输入输出关系,验证电路的正确性。

5. 数字电路仿真实验(1)利用Multisim等仿真软件,设计并仿真上述实验电路。

(2)对比实际实验结果和仿真结果,分析误差原因。

四、实验步骤1. 实验前准备(1)熟悉实验内容和要求。

(2)了解实验器材的性能和操作方法。

(3)准备好实验报告所需的表格和图纸。

2. 基本门电路实验(1)搭建与非门、或非门、异或门等基本逻辑电路。

(2)使用万用表测试电路的输入输出关系,验证电路的功能。

(3)记录实验数据,分析实验结果。

3. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发电路。

(2)使用示波器观察触发器的输出波形,验证电路的功能。

(3)记录实验数据,分析实验结果。

4. 组合逻辑电路实验(1)设计4位二进制加法器电路。

(2)搭建电路,使用万用表测试电路的输入输出关系,验证电路的正确性。

(3)记录实验数据,分析实验结果。

(整理)数电实验手册1

(整理)数电实验手册1

实验一 译码器及其应用一、实验目的1、掌握译码器的测试方法。

2、了解中规模集成译码器的功能,管脚分布,掌握其逻辑功能。

3、掌握用译码器构成组合电路的方法。

4、学习译码器的扩展。

二、实验设备及器件1、数字逻辑电路实验板 1块2、74HC138 3-8线译码器 2片3、74HC20 双4输入与非门 1片三、实验原理1、中规模集成译码器74HC13874HC138是集成3线-8线译码器,在数字系统中应用比较广泛。

图3-1是其引脚排列。

其中 A 2 、A 1 、A 0 为地址输入端,~为译码输出端,S 1、、为使能端。

表3-1为74HC138真值表。

表3-1 74HC138真值表图3-1 74HC138引脚0Y 7Y 2S 3S 74HC13874HC138工作原理为:当S 1=1,S 2+S 3=0时,电路完成译码功能,输出低电平有效。

其中:2、译码器应用因为74HC138 三-八线译码器的输出包括了三变量数字信号的全部八种组合,每一个输出端表示一个最小项,因此可以利用八条输出线组合构成三变量的任意组合电路。

四、实验内容1、译码器74HC138 逻辑功能测试(1)控制端功能测试测试电路如图3-2所示。

按表3-2所示条件输入开关状态。

观察并记录译码器输出状态。

LED 指示灯亮为0,灯不亮为1。

控制端功能测试图3-2 74HC138逻辑功能测试电路(2)逻辑功能测试将译码器使能端S 1、、及地址端A2、A1、A0 分别接至逻辑电平开关输出口,八个输出端依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按表3-3逐项测试74HC138的逻辑功能。

2S 3S 07Y Y ⋅⋅⋅74HC138表3-3 74HC138逻辑功能测试2、用74HC138实现逻辑函数Y=AB+BC+CA如果设A2=A ,A1=B ,A0=C ,则函数Y 的逻辑图如3-3所示。

用74HC138和74HC20各一块在实验箱上连接图3-3线路。

数电实验内容

数电实验内容

实验一组合逻辑电路的设计一、实验目的1、掌握组合逻辑电路的设计和测试方法。

2、掌握半加器、全加器的逻辑功能。

3、通过功能验证锻炼解决实际问题的能力。

二、实验主要仪器设备1、万用表2、集成芯片:74LS00、74LS08三、实验原理1、设计组合逻辑电路的一般步骤:设计要求→逻辑状态表→逻辑表达式→简化逻辑表达式→逻辑图。

通常,设计组合逻辑电路按下述步骤进行。

其流程图如。

(1)列真值表。

设计的要求一般是用文字来描述的。

设计者很难由文字描述的逻辑命题直接写出逻辑函数表达式。

由于真值表在四种逻辑函数表示方法中,表示逻辑功能最为直观,故设计的第一步为列真值表。

首先,对命题的因果关系进行分析,“因”为输入,“果”为输出,即“因”为逻辑变量,“果”为逻辑函数。

其次,对逻辑变量赋值,即用逻辑0和逻辑1分别表示两种不同状态。

最后,对命题的逻辑关系进行分析,确定有几个输入,几个输出,按逻辑关系列出真值表。

(2)由真值表写出逻辑函数表达式。

(3)对逻辑函数进行化简。

若由真值表写出的逻辑函数表达式不最简,应利用公式法或卡诺图法进行逻辑函数化简,得出最简式。

如果对所用器件有要求,还需将最简式转换成相应的形式。

(4)按最简式画出逻辑电路图。

图3.4.1 组合逻辑电路设计流程图2、用74LS00和74LS86组成半加器电路。

要求按设计要求步骤进行,直到测试电路逻辑功能符合设计要求为止。

3、用74LS00和74LS86组成全加器电路。

要求按设计要求步骤进行,直到测试电路逻辑功能符合设计要求为止。

四、预习要求1、复习组合逻辑电路的设计方法。

2、熟悉本实验所用各种集成电路的型号及引脚号。

3、根据实验内容所给定的设计命题要求,按设计步骤写出真值表、输出函数表达式并按指定逻辑写出表达式。

4、根据实验要求画出标有集成电路的型号及引脚号的逻辑电路图。

五、实验内容及步骤1、 半加器的设计可以选择与非门74LS00以及与非门74LS00结合异或门74LS86两种方法设计半加器电路,连接电路,测试输入、输出端的逻辑状态,填入下表。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1.电路图截图:
2-1-1
2-1-2
2-1-3
2.时序仿真截图:
注:此实验没有要求时序仿真
1.代码:
module test2_2 (codeout,indec); input[3:0] indec;
output[6:0] codeout;
reg[6:0] codeout;
always@(indec)
begin
case(indec)
4'd0: codeout=7'b1111110;
4'd1: codeout=7'b0110000;
4'd2: codeout=7'b1101101;
4'd3: codeout=7'b1111001;
4'd4: codeout=7'b0110011;
4'd5: codeout=7'b1011011;
4'd6: codeout=7'b1011111;
4'd7: codeout=7'b1110000;
4'd8: codeout=7'b1111111;
4'd9: codeout=7'b1111011;
default: codeout=7'b0;
endcase
end
endmodule
2.时序仿真截图:
1.电路图截图:
4-1-1 2.时序仿真截图:
4-2-1
1.电路图截图:
5-1-1 2.时序仿真截图:
5-2-1
1.代码
module counter_2152(clr,en,clk,q,d,load,cout,updown);
parameter n=4;
input[n-1:0]d;
input clk,clr,load,en,updown;
output reg[n-1:0]q;
output reg cout;
always @(posedge clk,negedge clr)
if(!clr)//clr低电平有效,低电平就清零
q<=0;//清零时的值
else
if(en)//使能en为真才能用
begin
if(load)//load为真置数
q<=d;
else if(updown)// updown真加进位
if(q<8) begin q<=q+1;cout<=0;end//没有到进位条件时
else if(q==8) begin q<=9;cout<=1;end//到达进位条件时
else begin q<=0;cout<=0;end//进位之后else// updown假减进位
if(q==0) begin q<=9;cout<=0;end//进位之后
else if(q==1) begin q<=0;cout<=1;end//到达进位条件
else begin q<=q-1;cout<=0;end//没有到达进位条件时end
endmodule
2.电路图截图:
3.时序仿真截图:
实验7
1.代码
//实际的代码
module Test7_2152_1(clk,ge,shi,out100hz);
input clk;
input [3:0] ge;
input [3:0] shi;
output reg out100hz;
wire [6:0]zhishu;
reg [12:0] q5000;
reg [6:0] q100;
reg out10khz;
assign zhishu = shi*10 + ge;
always@ (posedge clk)
begin
if (q5000 < 2500)
begin
out10khz <= 1;
q5000 <= q5000+1;
end
else if (q5000 < 5000)
begin
out10khz <= 0;
q5000 <= q5000+1;
end
else
q5000 <= 0;
end
always@(posedge out10khz)
begin
if (q100 < zhishu)
begin
out100hz <= 1;
q100 <= q100+1;
end
else if (q100 < 99)
begin
out100hz <= 0;
q100 <= q100+1;
end
else
q100 <= 0;
endmodule
//模拟的代码
module Test7_2152_1(clk,ge,shi,out100hz); input clk;
input [3:0] ge;
input [3:0] shi;
output reg out100hz;
wire [6:0]zhishu;
reg [12:0] q5000;
reg [6:0] q100;
reg out10khz;
assign zhishu = shi*10 + ge;
always@ (posedge clk)
begin
if (q5000 < 5)
begin
out10khz <= 1;
q5000 <= q5000+1;
end
else if (q5000 < 10)
begin
out10khz <= 0;
q5000 <= q5000+1;
end
else
q5000 <= 0;
end
always@(posedge out10khz)
begin
if (q100 < zhishu)
begin
out100hz <= 1;
q100 <= q100+1;
end
else if (q100 < 9)
begin
out100hz <= 0;
q100 <= q100+1;
end
else
q100 <= 0;
endmodule
1.电路图截图:
7-1-1 2.时序仿真截图:
7-2-1。

相关文档
最新文档