八路彩灯

合集下载

八路彩灯循环显示

八路彩灯循环显示

电子课程设计——8路彩灯显示目录一、设计任务与要求——————————————3二、总体框图—————————————————3三、选择器件—————————————————4四、功能模块—————————————————5五、总体设计电路———————————————7六、总结———————————————————9参考文献—————————————————9八路彩灯设计一、设计任务与要求控制8个LED进行花色显示,设计五种模式:LED从左到右依次闪烁;LED 从右到左依次闪烁;LED从中间到两边依次闪烁;LED奇数指示灯依次闪烁;LED偶数指示依次闪烁。

五种模式循环切换,复位键(CLR)控制系统的运行与停止。

二、总体框图方案一:图(1)方案一框图时钟输入:给分频电路时钟脉冲;计数器:控制五种循环模式的转换;移位寄存器:通过移位实现每种模式的所有状态;LED显示:彩灯演示;清零输入:CLR键,实现复位功能。

方案二;图(2)方案二框图时钟输入:给分频电路时钟脉冲;控制电路:状态机,当时钟输入给状态机一个脉冲输入后,状态机就会自动进行第一种循环,当第一种循环结束后,状态机会自动进行下一个循环,依次类推,当第五种循环模式结束后,状态机就会自动转换到第一种模式,这样一直循环下去。

LED显示:彩灯演示;清零输入:CLR键,实现复位功能;方案选择:方案二;选择理由:结构简单,易于实现。

三、选择器件1、Quartus II 6.0 软件;2、FPGA适配器实验板:标准配置是EP1C12Q240C8;3、下载接口是数字芯片的下载接口(JTAG),下载形式为USB-Blaster,主要用于FPGA芯片的数据下载;4、时钟源;5、电源、八个LED灯。

四、功能模块1、LED(状态机)模块图(3)LED(状态机)模块LED模块由两个主控进程控制,时序进程和辅助进程组成,各进程分工明确。

在完整的循环过程中,状态机中最先启动的是以CP为敏感信号的时序进程,当时序进程被启动后,按顺序进行五种循环模式,当CLR接收到一个低电平脉冲时,循环将自动恢复到第一种循环模式,继而进行循环.2、LED模块VHDL程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cdxs isport(led : out std_logic_vector(7 downto 0);vga: out std_logic_vector(3 downto 0); ---控制小灯亮暗cp ,clr : in std_logic;ledd: out std_logic); ---20MHZ clock signalend cdxs;architecture one of cdxs is--signal fre :STD_LOGIC;signal s :std_logic_vector(5 downto 0); ---6花色signal s1 :std_logic_vector(7 downto 0); ---赋值给8个小灯beginvga<="0001";p1:process(cp,clr)beginif clr='1' thens<= "000000";ledd<=s(1);elsif cp'event and cp='1' thenif s<="100010" then s<=s+1;elses<="000000";end if;end if;ledd<=s(1);if s= "000000" then s1<="10000000";elsif s= "000001" then s1<="01000000";elsif s= "000010" then s1<="00100000";elsif s= "000011" then s1<="00010000";elsif s= "000100" then s1<="00001000";elsif s= "000101" then s1<="00000100";elsif s= "000110" then s1<="00000010";elsif s= "000111" then s1<="00000001";elsif s= "001000" then s1<="00000010";elsif s= "001001" then s1<="00000100";elsif s= "001010" then s1<="00001000";elsif s= "001011" then s1<="00010000";elsif s= "001100" then s1<="00100000";elsif s= "001101" then s1<="01000000";elsif s= "001110" then s1<="10000000";elsif s= "001111" then s1<="00011000";elsif s= "010000" then s1<="00100100";elsif s= "010001" then s1<="01000010";elsif s= "010010" then s1<="10000001";elsif s= "010011" then s1<="10000000";elsif s= "010100" then s1<="00100000";elsif s= "010101" then s1<="00001000";elsif s= "010110" then s1<="00000010";elsif s= "010111" then s1<="01000000";elsif s= "011000" then s1<="00010000";elsif s= "011001" then s1<="00000100";elsif s= "011010" then s1<="00000001";elsif s= "100000" then s1<="11111111";else s1<="00000000";end if;led<=not s1;3、仿真结果图(4)时序仿真图仿真分析:有仿真结果可以看出,在清零端 CLR输入无效时,在时钟脉冲的作用下,LED[7..0]的状态分别为011111111,10111111,11011111,11101111,11110111,11111011,11111101,11111110。

8路彩灯控制电路设计

8路彩灯控制电路设计

《8路彩灯控制电路设计》祥设计报告专业:_______________班级:_______________姓名: ________________学号:_______________指导教师:____________2014年6月25日1 •课程设计的目的2. 课程设计题目描述和要求3. 电路设计4. 设计过程中遇到的问题及解决办法5. 结论与体会附表参考书目1 •课程设计目的1.熟悉仿真软件Multisim ,使用软件经行电路仿真;2.第握数字电路课程学习的常见芯片的功能,熟悉其工作原理:3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题;4.增强学生动手能力,增加学生理论和实践结合的机会。

2. 课程设计题目描述和要求设计题目八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁设计要求1. 接通电源,电路开始工作,LED灯闪烁:2. LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式3. 电路设计3. 1闪烁花型设计花型I :8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。

花型1【:8路彩灯由中间到两讪对称的依次点亮,余亮后仍由中间到两劝对称熄灭。

花型1【1: 8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。

花型IV: 8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。

花型状态编码表如表3. 1. 1所示。

每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。

表3. 1. 1 8路彩灯输出状态编码表3. 2设计原理3. 2.1系统的逻辑功能分析彩灯控制电路的原理框图如图3. 2. 1所示,它主要由控制器、编码器和脉冲信号发生器等部分组成。

图3. 2. 1彩灯控制器原理图编码器根据花型按节拍输出8路编码信号,控制彩灯按规定的规律亮、灭: 控制器为编码器提供所需的节拍脉冲及控制信号,控制整个系统的工作;脉冲信号发生器为系统提供时钟脉冲信号。

八路彩灯课程设计

八路彩灯课程设计

八路彩灯课程设计一、课程目标知识目标:1. 学生能理解并掌握八路彩灯的基本电路原理和电路连接方式。

2. 学生能够运用所学的电子元件知识,正确选择和连接八路彩灯所需的元器件。

3. 学生能理解并解释八路彩灯控制过程中涉及的电子技术概念,如电压、电流、电阻等。

技能目标:1. 学生能够独立完成八路彩灯电路的设计与搭建,展示动手实践能力。

2. 学生能够运用问题解决策略,分析和解决在搭建八路彩灯过程中遇到的技术问题。

3. 学生通过小组合作,培养团队协作和沟通能力。

情感态度价值观目标:1. 学生通过参与八路彩灯的制作,培养对科学技术的兴趣和好奇心,增强学习动力。

2. 学生在实践过程中,培养耐心、细致、勇于尝试和创新的科学精神。

3. 学生能够关注电路在生活中的应用,认识到科技与生活的紧密联系,提高环保意识和节能意识。

课程性质:本课程为实践性强的电子技术课程,旨在通过学生动手实践,将理论知识与实际应用相结合。

学生特点:五年级学生,具有一定的电子元件知识基础,好奇心强,喜欢动手实践。

教学要求:注重理论与实践相结合,关注学生的个体差异,鼓励学生思考、提问,培养解决问题的能力。

通过课程目标的设定,分解学习成果,使学生在实践中掌握知识,提升技能,培养情感态度价值观。

二、教学内容本课程依据课程目标,结合教材内容,组织以下教学大纲:1. 电子元件知识回顾:复习之前学过的电阻、电容、二极管等电子元件的基本原理和功能。

2. 八路彩灯电路原理:讲解八路彩灯电路的设计思路,包括串联、并联电路的特点及其在彩灯中的应用。

3. 元器件选择与连接:详细介绍如何根据电路需求选择合适的电子元件,并教授正确的连接方法。

4. 搭建八路彩灯电路:指导学生动手搭建八路彩灯电路,掌握电路连接和调试技巧。

5. 故障分析与解决:教授学生在搭建过程中遇到问题的分析方法,培养解决问题的能力。

教学内容安排与进度:第一课时:回顾电子元件知识,介绍八路彩灯电路原理。

第二课时:学习元器件选择与连接,进行电路搭建前的准备工作。

八路彩灯控制器 课程设计

八路彩灯控制器 课程设计

目录第一章总体设计 (5)1.1设计目的 (5)1.2设计任务与要求 (5)1.3基本工作原理 (5)1.4设计方案 (5)第二章单片机简介 (6)2.1单片机结构 (6)2.2单片机的封装形式、引脚定义及功能 (7)2.3单片机的工作原理 (8)2.4 CPU的工作原理 (8)2.5存储器结构 (9)2.6 CPU时序及时钟电路 (10)2.7复位操作 (12)第三章硬件设计 (14)3.1整体硬件接结构 (14)3.2功能模块电路 (14)3.3系统硬件原理电路图 (16)第四章软件设计 (17)4.1软件总体结构设计 (17)4.2各功能模块设计 (18)总结与心得 (25)参考文献: (26)第一章总体设计1.1设计目的1)了解单片机的基本原理及相关的简单应用。

2)掌握用单片机设计系统的一般步骤。

3)了解LED数码管的基本知识和驱动方法。

4)掌握单片机系统各个组成部分的作用以及分布位置。

5)学会运用单片机的硬件资源。

1.2设计任务与要求8个彩灯的控制电路的任务为:用AT89S51单片机设计设计一个8个彩灯控制电路。

要求:完成以下花形变化:1) 从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。

时间节拍为1秒。

2)从两边向中间依次点亮,8个灯全亮;从中间向两边依次熄灭,8个灯全灭。

时间节拍为1秒。

3)循环往复,用LED管模拟彩灯。

4)用汇编语言编程,用proteus仿真。

1.3基本工作原理此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。

由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。

若查到的内容为跳出代码就重新开始循环。

1.4设计方案软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。

硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。

8路彩灯循环控制

8路彩灯循环控制

黄冈师范学院物理科学与技术学院EDA课程设计课题:8路彩灯循环控制专业年级:电信0702学号:200722240218姓名:余涛指导老师:冯杰时间:2010年1月8日一、设计目的:1、熟练掌握VHDL的设计过程。

2、掌握软件和硬件结合实现功能。

3、了解FPGA/CPLD类芯片的功能及作用。

4、了解和掌握彩灯设计的思想从而为今后的电路设计奠基基础。

二、设计思想:采用的设计方法是一种高层次的“自顶而下”的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。

通过对VHDL语言设计普通电路来实现彩灯的控制的电路,从而能够用MAX+PLU SⅡ平台上进行仿真实现功能。

三、功能描述:此八路彩灯有3种变化,这3种变化可以进行手动切换,三种变化分别为:1、彩灯自左向右依次点亮。

2、彩灯自左向右逐个点亮。

3、彩灯两边两个先亮,然后同时向中间逐个点亮,随后中间同时向两边熄灭。

四、设计原理:本实验主要是为了实现了彩灯循环点亮的不同控制,它主要由彩灯循环控制方式和彩灯循环点亮变化方式选择两大部分组成。

1、彩灯循环控制方式的设计,在这里主要是通过实验箱指示灯显示。

在电路中以1代表灯亮,以0代表灯灭,由0、1按不同的规律组合代表不同的点亮方式,同时使其选择不同的频率,从而实现多种变化多种频率的花样功能显示。

2、彩灯循环点亮变化方式选择,在这里设计了彩灯三种不同的循环变化方式。

我们用状态机来控制不同的点亮方式,从而达到不同的点亮方式随时切换变化。

五、程序设计:(1)实现功能1:LIBRARY ieee;USE ieee.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng1 ISPORT(CLK:IN STD_LOGIC;RST:IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END caideng1;ARCHITECTURE a OF caideng1 ISSIGNAL NUM: INTEGER RANGE 7 DOWNTO 0;BEGINPROCESS(CLK)BEGINIF RST='1' THEN NUM<=0;ELSIF CLK'EVENT AND CLK='1' THEN NUM<=NUM+1;END IF;END PROCESS;PROCESS(NUM)BEGINCASE NUM ISWHEN 0 =>Q<="10000000"; WHEN 1 =>Q<="01000000";WHEN 2 =>Q<="00100000"; WHEN 3 =>Q<="00010000";WHEN 4 =>Q<="00001000"; WHEN 5 =>Q<="00000100";WHEN 6 =>Q<="00000010"; WHEN 7 =>Q<="00000001";WHEN OTHERS =>NULL;END CASE;END PROCESS;END a;仿真波形:(2)实现功能2:LIBRARY ieee;USE ieee.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng2 ISPORT(CLK:IN STD_LOGIC;RST:IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END caideng2;ARCHITECTURE a OF caideng2 ISSIGNAL NUM: INTEGER RANGE 7 DOWNTO 0;BEGINPROCESS(CLK)BEGINIF RST='1' THEN NUM<=0;ELSIF CLK'EVENT AND CLK='1' THENIF NUM<=7 THEN NUM<=NUM+1;ELSE NUM<=0;END IF;END IF;END PROCESS;PROCESS(NUM)BEGINCASE NUM ISWHEN 0 =>Q<="10000000";WHEN 1 =>Q<="11000000";WHEN 2 =>Q<="11100000";WHEN 3 =>Q<="11110000";WHEN 4 =>Q<="11111000";WHEN 5 =>Q<="11111100";WHEN 6 =>Q<="11111110";WHEN 7 =>Q<="11111111";WHEN OTHERS =>NULL;END CASE;END PROCESS;END a;仿真波形:(3)实现功能3:LIBRARY ieee;USE ieee.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng3 ISPORT(CLK:IN STD_LOGIC;RST:IN STD_LOGIC;Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END caideng3;ARCHITECTURE a OF caideng3 ISSIGNAL NUM: INTEGER RANGE 7 DOWNTO 0; BEGINPROCESS(CLK)BEGINIF RST='1' THEN NUM<=0;ELSIF CLK'EVENT AND CLK='1' THENIF NUM<=7 THEN NUM<=NUM+1;ELSE NUM<=0;END IF;END IF;END PROCESS;PROCESS(NUM)BEGINCASE NUM ISWHEN 0 =>Q<="00000000";WHEN 1 =>Q<="10000001";WHEN 2 =>Q<="11000011";WHEN 3 =>Q<="11100111";WHEN 4 =>Q<="11111111";WHEN 5 =>Q<="11100111";WHEN 6 =>Q<="11000011";WHEN 7 =>Q<="10000001";WHEN OTHERS =>NULL;END CASE;END PROCESS;END a;仿真波形:(4)状态机:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY caideng_ztj ISPORT(CLK,RST: IN STD_LOGIC;Q1,Q2,Q3: IN STD_LOGIC_VECTOR(7 DOWNTO 0);T:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END caideng_ztj;ARCHITECTURE behav OF caideng_ztj ISTYPE states IS (s0,s1,s2);SIGNAL YT : states ;BEGINP1: PROCESS(CLK,RST)BEGINIF RST ='1' THENYT <= S0;ELSIF CLK'EVENT AND CLK = '1' THENCASE YT ISWHEN s0 => YT <= s1;WHEN s1 => YT <= s2;WHEN s2 => YT <= s0;WHEN OTHERS => YT <= s0;END CASE ;END IF;END PROCESS ;P2: PROCESS(YT)BEGINCASE YT ISWHEN s0 => T(7 DOWNTO 0)<= Q1 (7 DOWNTO 0);WHEN s1 => T(7 DOWNTO 0)<= Q2 (7 DOWNTO 0);WHEN s2 => T(7 DOWNTO 0)<= Q3 (7 DOWNTO 0);WHEN OTHERS => T(7 DOWNTO 0)<=Q1 (7 DOWNTO 0); END CASE ;END PROCESS P2 ;END behav;仿真波形:(5)实现上述3项功能的总原理图:仿真波形:六、实验箱调试:1、引脚锁定:我选用实验电路结构图NO.7为这次彩灯硬件调试的操作界面。

八路循环彩灯的设计方案

八路循环彩灯的设计方案

八路循环彩灯的设计方案
八路循环彩灯是一种非常受欢迎的装饰灯,它使用八个LED灯以不同的速度旋转,形成一种奇特的彩色闪烁画面,由此引起人们的注意。

控制循环彩灯的基本工作原理是:当电源连接到LED灯之后,电路控制器就会控制每个LED灯的亮度和强度变化,当每个LED灯的亮度和强度不断变化时,便产生了旋转彩色灯的效果,这就是八路循环彩灯的原理。

设计八路循环彩灯需要先选择好建立该系统的单片机,通常情况下,比如基于AVR单片机的系统,我们可以使用ATmega328P系列单片机,该系列单片机具有足够的IO口,可以直接控制8路LED灯。

接着,当单片机选择好之后,就可以考虑整个系统的电源电路,一般情况下,它的电源电路可以采用桥式变压器,主变压器的输出电压需要符合单片机的需求,因为单片机的使用电压可能会有所不同,所以在设计的过程中需要根据实际所选单片机的电源电压来设计,一般来说,为了减少系统损耗,这里也可以使用电池电源,而且这样可以有效降低系统的负载,节约电能源。

接下来,就要考虑如何控制慢/快速闪烁的参数了,因此我们需要一个外部模块,一般情况下可以使用一个称为电路模块的模块,该模块可以由电路芯片完成控制,电路芯片与单片机通过I2C总线连接,单片机发出控制指令,电路模块完成控制该系统的快慢闪烁参数,最终实现存储下来的设定好的8路循环彩灯效果。

最终,只要把本系统设计出来,之后就可以把LED装饰灯安装到玻璃或者其他装饰物体上,根据上面所描述的原理,不但可以实现单一的循环彩灯效果,而且还可以根据需求改变参数来实现多种效果,最终完成装饰灯的安装以达到装饰的目的。

八路循环彩灯设计分析报告

八路循环彩灯设计分析报告

八路循环彩灯设计报告————————————————————————————————作者:————————————————————————————————日期:成绩课程设计说明书(论文)题目:循环彩灯电路设计课程名称:数字电子技术学院:电子信息与电气工程学院学生姓名:李振振学号:201202010115专业班级:自动化2012级2班指导教师:翟亚芳2014 年6 月6日课程设计任务书设计题目循环彩灯电路设计学生姓名李振振所在学院电子信息与电气工程学院专业、年级、班自动化2012级2班设计要求:1、设计制作一个循环彩灯电路;2、彩灯数量为8个;3、8个彩灯从左到右依次点亮,然后依次熄灭,点亮和熄灭的时间间隔为1秒。

学生应完成的任务:1、明白循环彩灯的工作原理,完成循环彩灯电路设计,利用Mutisim进行仿真。

2、利用DXP软件绘制电路原理图,并设计制作PCB板。

3、根据设计原理对电路进行安装、调试。

4、撰写并提交课程设计报告。

参考文献:[1] 秦长海,张天鹏,翟亚芳.数字电子技术[M].北京:北京大学出版社,2012.[2] 班春华.电子线路设计与应用[M].北京:高等教育出版社,2005.[3] 张睿.Altium Desiner6.0原理图与pcb设计[M].北京:电子工业出版社,2007[4] 童诗白,华成英.模拟电子技术基础[M] .北京:高等教育出版社,2005.工作计划:5月26—27日用Multisim软件完成电路功能仿真;5月28—30日利用Altium Designer软件完成电路原理图设计和PCB板设计;6月3—5日完成PCB板制作、电路安装与调试工作;6月5—6日验收结果、提交课程设计报告。

任务下达日期:2014年5月26 日任务完成日期:2014 年 6 月 6 日指导教师(签名):学生(签名):循环彩灯电路设计摘要:设计了一个循环彩灯电路,该电路可以实现8个彩灯从左到右依次点亮,然后依次熄灭,且点亮和熄灭的时间间隔均为1秒的功能。

八路彩灯控制器课程设计

八路彩灯控制器课程设计

第1章绪论彩灯,又名花灯,是我国普遍流行的传统的民间的综合性的工艺品。

彩灯艺术也就是灯的综合性的装饰艺术。

彩灯的产生,是从人类运用火、发明灯、制造灯具等发展而来的。

随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一的典范。

现今生活中,市场上为能吸取顾客的注意;搞出各式各样的方法,其中彩灯的装饰便是一种非常普遍的一种,即可起装饰宣传作用,又可以烘托起现场气氛,城市也因众多的彩灯而变得灿烂辉煌。

本设计的彩灯确能成为现实的一种,但技术上日后将会有更大的改善和提高。

本设计以IC CD40194和IC CD4069和IC CD4071芯片实现,提出一种手动彩灯控制器,它的主要元件均采用CMOS 数字电路,驱动部分采用三极管9014,因此具有电路简洁、工作可靠,控制形式多样,使用安全方便的特点。

第2章功能及方框图2.1 功能简介彩灯控制器能够使彩灯控照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用。

彩灯控制器多种多样,本设计的彩灯控制器主要功能:(1)可以控制8路彩灯。

(2)彩灯点亮方式既可以向左(逆时针)移动,也可以向右(顺时针)移动,还可以左右交替移动。

(3)起始状态可预置(4)移动速度和左右交替速度均可调节。

2.2 电路方框图图1 彩灯控制方框图第3章电路附录1所示为彩灯控制器电路图。

它的主要元器件均彩CMOS数字电路,驱动部分采用晶体管VT,因此具有电路简洁、工作可靠、控制形式多样,使用安全方便的特点.3.1电路功能结构组成整机电路包括以下功能单元:整机的核心是两个CD40194级联组成的8位双向移位寄存器,控制8路彩灯按一定规律闪亮。

(1) S1、S2、SB组成的预置数控制电路,它控制8位移存器的初始状态,即8路彩灯的起始状态。

八路彩灯课程设计要求

八路彩灯课程设计要求

八路彩灯课程设计要求一、教学目标本课程的教学目标是让学生了解和掌握八路彩灯的工作原理和设计方法。

知识目标包括:了解彩灯的基本概念、掌握彩灯的电路原理和设计方法。

技能目标包括:能够分析彩灯电路图、能够设计和制作彩灯电路。

情感态度价值观目标包括:培养学生对科学的兴趣和好奇心,培养学生勇于探索和创造的精神。

二、教学内容本课程的教学内容主要包括彩灯的基本概念、电路原理、设计方法和制作技巧。

具体包括:彩灯的定义、种类和应用;电路原理图的识别和分析;彩灯电路的设计原则和方法;彩灯制作的基本技巧和注意事项。

三、教学方法为了达到教学目标,我们将采用多种教学方法,包括讲授法、实验法、讨论法和案例分析法。

通过讲解和演示,使学生了解彩灯的基本概念和电路原理;通过实验和实践,使学生掌握彩灯的设计和制作方法;通过讨论和交流,培养学生对科学的思考和探索能力。

四、教学资源为了支持教学内容的实施和教学方法的应用,我们将准备丰富的教学资源,包括教材、参考书、多媒体资料和实验设备。

教材将提供彩灯设计和制作的详细步骤和示例;参考书将提供更多的彩灯电路设计和应用实例;多媒体资料将提供彩灯工作原理的动画和视频;实验设备将用于实践和验证彩灯的设计和制作。

五、教学评估本课程的评估方式包括平时表现、作业和考试三个部分。

平时表现主要评估学生的课堂参与和表现,包括发言、提问和讨论等;作业主要评估学生的学习理解和应用能力,包括练习题和设计项目;考试主要评估学生的知识掌握和运用能力,包括选择题、解答题和设计题。

评估方式将客观、公正地全面反映学生的学习成果。

六、教学安排本课程的教学安排将分为10个课时,每课时45分钟。

教学时间安排在每周五下午2点到4点,教学地点为实验室。

教学安排将紧凑合理,确保在有限的时间内完成教学任务,同时考虑学生的实际情况和需要。

七、差异化教学根据学生的不同学习风格、兴趣和能力水平,我们将设计差异化的教学活动和评估方式。

对于学习风格偏向实践操作的学生,我们将提供更多的实验和实践机会;对于学习风格偏向理论学习的学生,我们将提供更多的讲解和案例分析。

八路彩灯控制程序

八路彩灯控制程序

FPGA课程设计——8路彩灯控制程序2013年12月12日8路彩灯控制程序一、设计任务1.设计目的:(1)了解及掌握时序电路及组合电路的基本结构常用数字电路(2)通过ModelSim软件编写组合电路与时序电路混合的程序进行仿真和调试。

(3)74LS194 移位寄存器和74LS161 计数器的使用。

2.设计内容:编写一个8路彩灯控制程序,要求彩灯有以下3种演示花型。

(1)8路彩灯同时亮灭;(2)从左至右逐个亮(每次只有1路亮);(3)8路彩灯每次4路亮,4路灯灭,且灯灭相同,交替亮灭;在演示过程中,只有当一种花型演示完毕才能转向其他演示花型。

二、设计方案论证74LS161 是四位二进制同步加数器,74LS194 是一个 4 位双向移位寄存器,它具有左移,右移,保持,清零等逻辑功能,八路彩灯控制器通过利用双向移位寄存器 74LS194 的串行输入, 个并行输 8 出端控制彩灯;双向移位寄存器 74LS194 的控制端 S1=0,S0=1 时,进行右移; S1=1,S0=0 时, 进行左移; 十六位计数器 74LS161 可以从 0000 到 1111 进行计数. 利用十六位计数器 74LS161 的功能实现自动循环.(1)8路彩灯同时亮灭:111111110000000011111111(2)从左至右逐个亮(每次只有1路亮);111111110111111110111111110111111110111111110111111110111111110111111110(3)8路彩灯每次4路亮,4路灯灭,且灯灭相同,交替亮灭 111111110000111111110000三、结构及其工作原理1.结构框图:2.电路的原理图:3.电路工作原理:74LS161 是四位二进制同步加数器, 74LS194 是一个 4 位双向移位寄存器,它具有左移,右移,保持,清零等逻辑功能, 八路彩灯控制器通过利用双向移位寄存器 74LS194 的串行输入, 个并行输 8 出端控制彩灯;双向移位寄存器 74LS194 的控制端 S1=0,S0=1 时,进行右移; S1=1,S0=0 时, 进行左移; 十六位计数器 74LS161 可以从 0000 到 1111 进行计数. 利用十六位计数器 74LS161 的功能实现自动循环.四、主要元件1.数字电路实验箱 1 台2.74LS161 芯片 1 片3.74LS194 芯片 2 片4.导线若干五、仿真过程及结果新建工程及文件,分别添加设计程序及测试程序,进行编译及纠错,编译通过后运行程序仿真进行调试得出结果。

EDA八路彩灯课程设计

EDA八路彩灯课程设计

EDA八路彩灯课程设计1 设计任务及要求任务要求:1根据设计题目要求进行方案设计并编写相应程序代码2对编写的VHDL程序代码进行编译和仿真3总结设计内容,完成课程设计说明书主要内容:要求控制器能控制8路彩灯按照两种节拍、三种花型循环变化。

两种节拍分别为0.25秒和0.5秒。

三种花型分别是:①8路彩灯从左至右按次序渐亮,全亮后逆次序渐灭。

②从中间到两边对称地渐亮,全亮后由中间向两边逐灭③从两边到中间对称地渐亮,全亮后全灭。

2设计原理及总体框图根据功能要求,可将8路彩灯控制器的输出按花形循环要求列成表格,其中。

Q7-Q0是控制器输出的8路彩灯的控制信号,高电平时彩灯亮。

状态标识flag 是为了便于有规律地给8路输出赋值而设立的不同花形的检测信号。

序号Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q0 状态标识flag说明0 0 0 0 0 0 0 0 0000 第一种花形:渐亮1 1 0 0 0 0 0 0 02 1 1 0 0 0 0 0 03 1 1 1 0 0 0 0 04 1 1 1 1 0 0 0 05 1 1 1 1 1 0 0 06 1 1 1 1 1 1 0 07 1 1 1 1 1 1 1 08 1 1 1 1 1 1 1 19 1 1 1 1 1 1 1 0001 第一种花形:渐灭10 1 1 1 1 1 1 0 011 1 1 1 1 1 0 0 012 1 1 1 1 0 0 0 013 1 1 1 0 0 0 0 014 1 1 0 0 0 0 0 015 1 0 0 0 0 0 0 016 0 0 0 0 0 0 0 017 0 0 0 1 1 0 0 0010 第二种花形:渐亮18 0 0 1 1 1 1 0 019 0 1 1 1 1 1 1 020 1 1 1 1 1 1 1 121 1 1 1 0 0 1 1 1011 第二种花形:渐灭22 1 1 0 0 0 0 1 123 1 0 0 0 0 0 0 124 0 0 0 0 0 0 0 025 0 0 0 1 1 0 0 0100 第三种花形:渐亮26 0 0 1 1 1 1 0 027 0 1 1 1 1 1 1 028 1 1 1 1 1 1 1 129 0 0 0 0 0 0 0 0两种节拍的交替需要将4Hz的时钟脉冲二分频,得到一个2Hz的时钟脉冲,让这两种时钟脉冲交替控制花形循环。

八路彩灯控制课程设计

八路彩灯控制课程设计

八路彩灯控制课程设计一、课程目标知识目标:1. 学生能够理解并掌握八路彩灯的基本电路原理和控制方法。

2. 学生能够运用所学的电子元件,如电阻、电容、二极管等,分析并搭建八路彩灯电路。

3. 学生能够描述并解释八路彩灯电路中涉及的物理现象,如电流、电压、颜色变化等。

技能目标:1. 学生能够运用电路图绘制工具,设计八路彩灯电路图,并展示其功能。

2. 学生能够运用编程软件,编写控制程序,实现八路彩灯的多样式切换和自动控制。

3. 学生能够运用实验器材,动手搭建八路彩灯电路,并解决实际操作中遇到的问题。

情感态度价值观目标:1. 学生在课程学习中,培养对电子技术的兴趣和热情,提高科技创新意识。

2. 学生通过团队合作完成课程任务,培养沟通协作能力和集体荣誉感。

3. 学生在学习过程中,认识到电子技术在实际生活中的应用,增强环保意识和节能意识。

课程性质:本课程为电子技术实践课程,旨在让学生通过动手实践,掌握电子元件的应用和电路搭建方法。

学生特点:初三学生,具备一定的物理知识和动手能力,对新鲜事物充满好奇心。

教学要求:注重理论与实践相结合,引导学生主动探索,培养解决问题的能力和创新精神。

将课程目标分解为具体的学习成果,便于教学设计和评估。

二、教学内容1. 电子元件基础知识:介绍电阻、电容、二极管等基本电子元件的原理和特性,关联课本第三章第二节。

2. 八路彩灯电路原理:讲解八路彩灯电路的设计原理,包括电路图绘制、元件连接方式等,关联课本第四章第一节。

3. 编程控制方法:介绍编程软件的使用,编写控制程序实现八路彩灯的多样式切换,关联课本第五章第三节。

4. 实践操作:指导学生动手搭建八路彩灯电路,进行实际操作,解决问题,关联课本第六章实践环节。

教学大纲安排:第一课时:电子元件基础知识学习,认识电阻、电容、二极管等元件,了解其作用。

第二课时:学习八路彩灯电路原理,分析电路图,了解元件连接方式。

第三课时:编程控制方法学习,掌握编程软件的使用,编写基础控制程序。

八路循环彩灯设计报告

八路循环彩灯设计报告

课程设计说明书(论文)题 目: 循环彩灯电路设计课程名称: 数字电子技术学 院: 电子信息与电气工程学院学生姓名: 李振振学 号: 201202010115专业班级: 自动化2012级2班指导教师: 翟亚芳2014 年 6 月 6日课程设计任务书循环彩灯电路设计摘要:设计了一个循环彩灯电路,该电路可以实现8个彩灯从左到右依次点亮,然后依次熄灭,且点亮和熄灭的时间间隔均为1秒的功能。

该电路主要由555定时器、74LS164移位寄存器、74LS00与非门、LED等组成,555定时器提供周期为1s的脉冲信号,74LS164和74LS00共同提供顺序脉冲,从而达到设计要求。

经Multisim仿真测试,该电路可以实现功能要求。

利用Altium Designer软件对电路进行了原理图设计和PCB设计,并对电路进行了安装和调试,调试结果正常。

关键词:循环彩灯;555定时器;74LS164移位寄存器;74LS00与非门;电路仿真;PCB设计目录1.设计背景 (1)1.1数字电路的介绍 (1)1.2时钟电路的作用及基本结构 (1)1.3Multism和Aultism软件的功能及使用 (1)2.设计方案 (2)2.1 课题任务 (2)2.2 任务分析 (2)3.实施方案 (2)3.1原理图设计 (2)3.2电路仿真 (5)3.3 PCB制作 (7)3.4安装与调试 (7)4.结果与结论 (8)5.收获与致谢 (8)6.参考文献 (9)7.附件 (9)7.1电路原理图 (9)7.2仿真图 (11)7.3PCB布线图 (11)7.4实物图 (12)7.5元器件清单 (13)1.设计背景1.1数字电路的介绍数字电子技术是信息、通信、计算机、自动控制等领域工程技术人员必须掌握的基本理论和技能。

数字电路系统的主要内容:数值、逻辑门电路、数模/模数转换电路、半导体存储器等。

数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。

8路彩灯控制器课程设计

8路彩灯控制器课程设计

8路彩灯控制器课程设计1. 引言8路彩灯控制器是一种用于控制多个彩灯的设备,可以实现对彩灯的亮度、颜色、闪烁等功能进行调节。

本课程设计旨在通过设计和实现一个基于Arduino的8路彩灯控制器系统,让学生了解并掌握彩灯控制器的原理和应用。

本文将从以下几个方面进行详细介绍:系统设计概述、硬件设计、软件设计、系统测试与调试以及课程教学建议。

2. 系统设计概述本系统采用Arduino作为主控芯片,通过与8个彩灯模块的连接,实现对彩灯的控制。

系统具有以下特点:•支持多种亮度和颜色调节方式,如PWM调光和RGB颜色混合。

•支持闪烁效果的设置和控制。

•可以通过串口或无线通信进行远程控制。

3. 硬件设计3.1 硬件组成本系统的硬件组成如下:•Arduino UNO开发板:作为主控芯片,负责接收指令并控制彩灯。

•彩灯模块:共8个,每个模块包含一个彩灯和相应的控制电路。

•电源模块:用于为Arduino和彩灯模块提供电源。

3.2 连接方式将Arduino与彩灯模块连接如下:•将8个彩灯模块的控制引脚分别连接到Arduino的数字IO口。

•将彩灯模块的电源引脚连接到电源模块的输出端。

•将Arduino的GND引脚与电源模块的GND引脚相连。

4. 软件设计4.1 控制逻辑系统的控制逻辑如下:1.初始化系统,设置各个IO口的功能和初始化默认参数。

2.进入主循环,等待指令。

3.接收指令并解析,根据指令类型执行相应操作。

4.执行完毕后返回主循环。

4.2 主要功能实现本系统的主要功能包括:•彩灯亮度调节:通过PWM信号控制彩灯亮度,可以实现从全亮到全暗的无级调光效果。

•彩灯颜色调节:通过RGB三基色混合,可以实现多种颜色的选择和调节。

•彩灯闪烁效果:通过控制彩灯的开关状态和亮度,可以实现闪烁效果。

4.3 程序框架系统的程序框架如下:void setup() {// 初始化系统}void loop() {// 等待指令// 解析指令// 执行操作}5. 系统测试与调试在完成硬件和软件设计后,需要进行系统测试与调试,以确保系统功能正常。

8路循环彩灯课程设计

8路循环彩灯课程设计

8路循环彩灯课程设计一、课程目标知识目标:1. 学生理解并掌握8路循环彩灯的基本原理,包括电路组成、循环控制方式等;2. 学生能够运用所学知识,设计并搭建简单的8路循环彩灯电路;3. 学生了解并掌握8路循环彩灯程序编写的基本方法,能够实现基本的灯光控制效果。

技能目标:1. 学生培养动手操作能力,能够独立完成电路搭建和程序编写;2. 学生提高问题解决能力,能够分析并解决8路循环彩灯在运行过程中遇到的问题;3. 学生培养团队协作能力,能够在小组合作中发挥积极作用,共同完成项目任务。

情感态度价值观目标:1. 学生培养对电子制作的兴趣和热情,激发创新意识;2. 学生树立安全意识,遵循实验操作规程,确保实验过程安全;3. 学生培养环保意识,注重废弃物的分类处理和回收利用;4. 学生通过小组合作,培养沟通、分享和尊重他人的品质。

课程性质:本课程属于电子制作实践课程,注重理论联系实际,提高学生的动手能力和创新能力。

学生特点:本课程针对的学生群体为具有一定电子知识基础和编程能力的学生,他们对新鲜事物充满好奇,喜欢动手实践。

教学要求:教师在教学过程中应注重启发式教学,引导学生主动探索、思考问题,同时关注学生的个别差异,给予个性化指导。

在教学评估中,关注学生的知识掌握、技能提升和情感态度价值观的培养。

通过分解课程目标为具体学习成果,为后续教学设计和评估提供依据。

,正文直接输出以下内容:一、课程目标知识目标:1. 掌握8路循环彩灯的基本电路原理及电路搭建方法;2. 学习并理解循环控制程序编写技巧,实现8路彩灯的循环点亮与熄灭;3. 了解电子元件的功能及其在8路循环彩灯中的应用。

技能目标:1. 能够独立完成8路循环彩灯的电路搭建和程序编写;2. 学会使用调试工具,解决电路及程序中可能出现的问题;3. 培养团队合作能力,与小组成员共同完成项目任务。

情感态度价值观目标:1. 培养对电子制作的兴趣,激发创新思维和动手实践欲望;2. 树立安全意识,遵守实验操作规程,确保实验过程安全可靠;3. 增强环保意识,养成废弃物品分类处理的好习惯;4. 学会沟通与分享,尊重他人意见,培养团队协作精神。

8路彩灯控制器的设计说明书

8路彩灯控制器的设计说明书

word格式整理版电子技术(下)课程设计任务书目录摘要 (1)1 绪论 (2)2 总体方案设计 (3)2.1 振荡电路部分 (3)2.2 数列循环部分 (3)2.3 数列显示部分 (3)3 单元模块设计 (4)3.1 脉冲信号产生模块 (6)3.2 快慢节拍控制电路模块 (7)3.3 计数电路模块 (8)3.4 花型控制电路模块 (9)4 系统调试和功能 (36)4.1 系统调试 (36)4.2 功能显示 (37)5 分析和总结 (43)附录一:工具清单 (37)附录二:元器件清单 (37)附录三:主要元器件的引脚图和功能表 (37)摘要通过设计多路彩灯系统来加深对主要器件的理解与应用。

本实验主要由振荡电路产生秒脉冲信号,经分频电路、计数电路、花型控制和显示电路后,由发光二极管模拟输出。

通过系统的设计、元件的选取、参数的选取等,来加深对各主要器件引脚分布、功能等的理解,从而提高电子设计能力的整体水平。

关键词:振荡电路;脉冲信号;分频电路;计数电路;花环电路;彩灯;AbstractThrough the design of many changing lights to deepen our understanding and application of many main parts of integrated circuit. This experiment produce seconds pulse signal mainly by the oscillating circuit .And through the points frequency circuit, count circuit, control and display circuit patterns to output by the lights. Through the design of the system, the selection, the parameters of the component selection, etc, to deepen our understanding of the main device pins distribution and function, so as to improve the overall level of electronic design ability.Key words:Oscillating circuit; The pulse signal; Points frequency circuit; Counts circuit; Garland circuit; Lights;第一章绪论随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。

八路彩灯

八路彩灯

目录第一章概述 (1)1.1.设计任务及要求 (1)1.1.1设计任务 (1)1.1.2设计要求及器件 (1)1.1.3设计任务及目标: (1)第2章.总体设计思路模块 (1)2.1系统逻辑框图 (1)2.2设计的方法 (2)第3章.各单元电路图及功能说明模块 (3)3.1器件选择 (3)3.1.1:计数器S163芯片的介绍 (3)3.1.2:计数器S190芯片的介绍 (4)3.1.4双向寄存器74LS194 (5)3.2各功能电路实现原理及电路分析模块 (6)3.2.1:彩灯演示电路 (6)3.2.2彩灯控制电路 (6)第4章.电路的仿真模块 (7)4.1总体图设计图 (7)第5章.我的设计部分----总体设计 (8)5.1:555定时器构成的多谢振荡器 (8)5.2体会 (8)第6章.参考文献: (9)第一章概述在日常生活中,我们经常会在节日庆祝、群众联欢及各种晚会中看到漂亮的彩灯,那么这些小彩灯是怎么样完成各种花样的变化呢?为此,我们设计了八路彩灯的逻辑控制电路,八个彩灯将实现三个过程,分别为从左到右依次点亮,各一秒;从右到左一次熄灭,各一秒;八个灯同时闪烁,共八秒!本次设计通过两片集成双向移位寄存器74LS194和计数器74163、74190控制右移,左移和让其全亮全灭和一个由555芯片构成的CP,彩灯为8个LED发光二极管。

这样,一个简单的漂亮的八路彩灯就设计出来了。

1.1.设计任务及要求1.1.1设计任务设计一个八路彩灯逻辑控制电路。

1.1.2设计要求及器件(1)共有八个彩灯,分别实现三个过程,构成一个循环共25秒。

(2)第一个过程要求八个灯从左到右依次点亮,各一秒,共八秒。

(3)第二个过程要求八个灯从右到左依次熄灭,各一秒,共八秒。

(4)最后八个灯同时闪烁八次,共8秒。

参考器件:两片双向移位寄存器74LS194 计数器74LS63和74LS190各一片 555芯片一个发光二极管八只保护二极管IN4148八只电容两个电阻两个反相器74LS04两个与非74LS02一只1.1.3设计任务及目标:(1)根据原理图分析各单元电路的功能;(2)熟悉电路中所用到的各集成块的管脚及其功能;(3)进行电路的装接、调试,直到电路能达到规定的设计要求;(4)写出完整、详细的课程设计报告。

8路彩灯控制器实验报告

8路彩灯控制器实验报告

《8路彩灯控制电路设计》课程设计报告专业:班级:姓名:学号:同组成员:指导教师:赵玲2015年1 月7 日目录一、课程设计目的 (3)二、课程设计要求 (3)(一)、彩灯控制器设计要求 (3)(二)、课程设计总体要求 (3)三、课程设计内容 (3)(一)、设计原理分析 (3)(二)、器件选择 (5)(三)、具体电路连线及设计思路 (6)1、时钟控制电路 (6)2、花色控制电路 (7)3、花色演示电路 (8)4、总体电路图 (10)四、实际焊接电路板思路及过程 (11)(一)、设计思路及电路图 (11)(二)、设计及焊接过程 (11)(三)、电路板展示 (12)五、课程设计总结与体会 (13)一、课程设计目的1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。

并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。

2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。

从而学会使用常用集成数字芯片进行电路设计。

3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。

4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。

5.培养自己的动手能力,团队协作能力。

二、课程设计要求(一)、彩灯控制器设计要求设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下:1.接通电源,电路开始工作,LED灯闪烁;2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式;3.(选做内容)闪烁时实现快慢两种节拍的变换。

(二)、课程设计总体要求(1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功;(3)每人独立完成一份设计报告。

三、课程设计内容(一)、设计原理分析1.基本原理如下:总体电路共分三大块。

八路循环彩灯电路原理图

八路循环彩灯电路原理图

工作原理
该电路先由光敏电阻、继电器、9014三极管组成光控制电路,电路的光敏电阻受到光的照射下,光敏电阻呈低阻状态,使9014三极管的基极电位降低,处于截止状态,继电器K不吸合,灯不亮;当光敏电阻不受到光照条件,光敏电阻的阻值逐渐变大,9014三极管的基极电位上升,当上升到一定程度后,9014三极管导通,继电器K吸合,电路有输出,灯亮。

再由555定时器、74LS90计数器、74LS138译码器组成八路彩灯循环电路(如图2)。

74LS90计数器的时钟
由555震荡电路提供,改变555的震荡频率可改变计数器的计数快慢,即可控制彩灯的闪烁快慢,计数器输出信号输入至138译码器,由138译码,根据计数器输出不同的计数结果,即可控制138译码器译码得到8种不同的输出信号,决定控制彩灯的循环变化。

显然,不同的计数器与译码器电路,得到的是不同的彩灯循环控制结果。

若译码器不变,在计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

彩灯设计报告题目:八路循环彩灯设计专业:自动化班级:1320391项目成员: 姓名张磊学号132039124姓名窦翔学号132039116姓名张诗浩学号132039128姓名李士晖学号132039138第一章总体设计1.1设计目的1)了解单片机的基本原理及相关的简单应用。

2)掌握用单片机设计系统的一般步骤。

3)了解LED数码管的基本知识和驱动方法。

4)掌握单片机系统各个组成部分的作用以及分布位置。

5)学会运用单片机的硬件资源。

1.2设计任务与要求8个彩灯的控制电路的任务为:用STC89S52单片机设计设计一个8个彩灯控制电路。

要求:完成以下花形变化:1)从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。

时间节拍为1秒。

3)循环往复,用LED管模拟彩灯。

4)用汇编语言编程,用proteus仿真。

1.3基本工作原理此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。

由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。

若查到的内容为跳出代码就重新开始循环。

1.4设计方案软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。

硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。

芯片的控制由STC89C52的外接晶振和复位电路进行控制。

第二章 单片机简介 2.1单片机结构2.1.1 89C51单片机的功能结构如下图所示,单片机是属于三总线结构,89C51单片机功能方框图如图2-1所示:中断控制外部中断振荡器内部中断定时器定时器计数器输入64KB总线扩展控制控制信号I/O端口可编程全双工串行口地址/数据据据存储器图2-1单片机内部结构框图2.1.2 单片机内容结构单片机的内部结构如图2-2所示。

从图中看出,它具有以下特征;适于控制应用的8位CPU56字节片内数据存储器,分高低两个128字节4K字节片内程序存储器64KB程序存储器空间64KB数据存储器空间4个8位共32根双向并可按位寻址的I/O口线两个16位定时/计数器;一个全双工的串行I/O接口有片内时钟振荡器具有布尔处理(位处理)能力图2-2 单片机内部结构2.2 指令与程序1)指令规定计算机执行特定操作的命令,分成操作码和操作数,以二进制编码形式出现,具有固定的格式。

2)程序程序就是跟据要求编制的指令集合。

2.3 CPU 的工作原理CPU 是微机的核心部件,由控制器和运算器两部分组成。

1)控制器控制器由指令部件、时序部件、控制部件三部分组成。

口驱动器口锁存器定时和逻辑控制器件指令寄 存器口驱动器中断、串行、通道和定时器模块(TX)口锁存器(RX)程序计数器 PC程序地址寄存器口驱动器口锁存器 地 址 寄 存 器寄存器口锁存器口驱动器堆栈指针SP缓冲器加器①指令部件:由程序计数器、指令寄存器和指令操作码译码器构成。

程序计数器——用来存放指令的地址的部件。

指令寄存器——用来暂时存放指令的部件。

指令操作码译码器——对指令操作码进行分析、解释并在输出端产生各种电平。

②时序部件:由时钟系统和节拍发生器构成。

时钟系统——它产生具有一定频率和宽度的脉冲信号。

节拍发生器——节拍发生器产生节拍电位和节拍脉冲。

③微操作控制部件:对节拍信号和指令操作码信号进行加工处理,产生各种信号。

2)运算器运算器是数据进行算术运算和逻辑运算的执行部件,单片机不仅有很强的算术运算能力,而且有非常强的位处理能力,因此,特别适合用在实时控制场合。

3)单片机执行程序的过程系统复位,程序计数器PC的值为0000H。

在控制信号的作用下,根据PC值从内存单元中取出相应的指令。

将取出的指令送指令寄存器,经指令译码器分析,译码信号与时序信号合成,形成所需的各种微操作信号。

循环往复执行,直到结束。

2.4存储器结构2.4.1单片机存储器空间分布如图2-4所示,80C51单片机存储器在物理结构上分片内程序存储器、片外程序存储器、片内数据存储器、片外数据存储器四个空间;在逻辑结构上(使用上)分成片内外统一编址的64KB程序存储器、256B的片内数据存储器以及64KB 片外数据存储器。

片外R0M片外RAM(I/O)图2-4 单片机存储器结构2.4.2 程序存储器1)程序存储器的作用 用来存放操作程序。

2)程序存储器的空间分布分片内和片外,共64KB 空间,EA=1,先访问片内程序存储器再访问片外存储器,其地址是连续的;当EA=0时,只访问片程序存储器。

复位时PC=0000H ,值得注意的是,程序存储器从0003H ~0030H 共40个单元作为中断处理用。

2.4.3数据存储器1)数据存储器的作用数据存储器用于存放中间运算结果、数据暂存和缓冲、标志位等。

2)数据存储器空间分布数据存储器由片内256字节(含低128单元和高128单元)和片外64KB 两个存储空间组成,结构如图2-5所示:图(外部)位寻址 区位寻址区位寻址地址00H-7FH 通用寄存器区第组通用寄存器区第0组通用寄存器区第3组通用寄存器区第组通用寄存器区低单元用户区(堆栈、数据缓冲)用户RAM 专用寄存器区高位单元2 2-5单片机数据存储器2.5复位操作复位操作是单片机的初始化操作,单片机在进入运行前和在运行过程中程序出错或操作失误使系统不能正常运行时,需要进行复位操作。

复位操作后,程序将从0000H开始重新执行,复位时特殊功能寄存器的状态如表3所示。

除此之外,复位操作还使单片机的ALE和PSEN引脚信号在复位期间变为无效状态。

单片机对复位信号的要求:一是复位信号为高电平,二是复位信号有效持续时间不少于24个振荡脉冲(两个机器周期)以上。

在这里特别要提醒的是,在一个应用系统中,如果有几片单片机同时工作,在程序上有连接关系,系统复位时,应确保每一片单片机同时复位。

复位信号由单片机的RST引脚输入,复位操作有上电自动复位、按键复位和外部脉冲复位三种方式。

第三章硬件设计3.1整体硬件接结构所谓接口是CPU与外界的连接部件,以实现CPU与外部设备的耦合和匹配。

本课题只要求外接晶振电路、复位电路、控制电路、显示接口电路。

根据总体设计要求,确定系统功能接口,设计出系统的电路原理图(如图3-1所示)。

显示设备为8个LED灯,采用共阳极接法。

利用89C51的P2口进行显示输出和P1.6和P1.7进行启动和停止控制。

DEPARTMENT OF AUTOMATION太原工业学院自动化系TAIYUAN INSTITUTE OF TECHNOLOGY追求卓越全面发展3.2功能模块电路3.2.1外接晶振电路每个单片机系统里都有晶振,他结合单片机内部的电路,产生单片机所必须的时钟频率,单片机的一切指令的执行都是建立在这个基础上的,晶振的提供的时钟频率越高,那单片机的运行速度也就越快。

晶振用一种能把电能和机械能相互转化的晶体在共振的状态下工作,以提供稳定,精确的单频振荡。

外接晶振电路是向AT89C51芯片提供基准频率。

外接晶振电路图如下:3.2.2复位电路关于单片机的复位,是为了把电路初始化到一个确定的状态,而在单片机内部,复位的时候单片机是把一些寄存器以及存储设备装入厂商预设的一个值。

当单片机的复位引脚出现2个机器周期以上的高电平时,单片机就执行复位操作。

如果RST持续为高电平,单片机就处于循环复位状态。

复位电路图如下:控制电路图3-1DEPARTMENT OF AUTOMATION太原工业学院自动化系TAIYUAN INSTITUTE OF TECHNOLOGY追求卓越全面发展3.2.3控制电路利用单片机芯片STC89C51的P1.6和P1.7口进行彩灯的启动和停止这两个状态的控制,如果按下P1.6的开关按钮彩灯就启动,当按下P1.7的按钮时彩灯就立即停止(全部熄灭)。

控制电路图如下:3.2.4显示电路八个彩灯的显示依靠P2口来控制进行亮或灭显示,采用共阳极接法,其中在线路中加限流电阻对芯片的保护。

其显示电路图如下:3.3系统硬件原理电路图将STC89C51芯片、外接晶振电路、复位电路、控制电路和显示电路等资料汇集起来,熟悉芯片个引脚的功能及连接方法,绘制如下系统硬件原理电路图:第四章软件设计主程序如下:#include<reg52.h>#include<intrins.h>void delay1ms(unsigned int i){unsigned char j;while(i--){for(j=0;j<115;j++) //1ms基准延时程序{;}}}void main(){P0=0xfe;P1=0x7f; // 0111 1111while(1){P0=_crol_(P0,1);P1=_cror_(P1,1);delay1ms(500);}第五章单片机实物图总结与心得回顾此次单片机课程设计,我仍感慨颇多,的确,从理论到实践,在接近一星期的日子里,可以说得是苦多于甜,但是学到了很多在书本上所没有学到过的知识。

通过这次设计使我懂得了只有把所学的理论知识与实践相结合起来,才能提高自己的实际动手能力和独立思考的能力。

在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,比如说不懂一些元器件的使用方法,对单片机结构掌握得不好……通过这次课程设计之后,一定把以前所学过的知识重新温故。

俗话说“好的开始是成功的一半”。

说起设计,我认为最重要的就是做好设计的预习,认真的研究老师给的题目。

其次,老师对实验的讲解要一丝不苟的去听去想,因为只有都明白了,做起设计就会事半功倍,如果没弄明白,就迷迷糊糊的去做设计,到头来一点收获也没有。

最后,要重视程序的模块化,修改的方便,也要注重程序的调试,掌握其方法。

在这次难得的设计过程中我锻炼了自己的思考能力和动手能力。

相关文档
最新文档