《EDA技术及应用》朱正伟-三,四,五章部分课后题答案

《EDA技术及应用》朱正伟-三,四,五章部分课后题答案
《EDA技术及应用》朱正伟-三,四,五章部分课后题答案

第三章

3-5设计一个4选1多路选择器,当选择输入信号分别取“00”、“01”、“10”和“11”时,输出信号分别与一路输入信号相连。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MAX4_1 IS

PORT(A,B,C,D,S1,S2 : IN STD_LOGIC;

Y : OUT STD_LOGIC);

END ENTITY MAX4_1;

ARCHITECTURE HF1 OF MAX4_1 IS

SIGNAL SS : STD_LOGIC_VECTOR (0 TO 1);

BEGIN

SS<=S2&S1;

PROCESS(SS)

BEGIN

CASE SS IS

WHEN "00" => Y<=A;

WHEN "01" => Y<=B;

WHEN "10" => Y<=C;

WHEN "11" => Y<=D;

WHEN OTHERS => NULL;

END CASE;

END PROCESS;

END HF1;

3-6设计一个7人表决电路,参加表决者7人,同意为1,不同意为0,同意者过半则表决通过,绿指示灯亮;表决不通过则红指示灯亮。设计思路:根据7人表决电路设计要求,7人中至少有4个通过才可以表决通过,故可以在程序中设置一个变量TEMP,使其在表决电路中遇1则加1,遇0则加0(设计中1表示通过,0表示不通过)。当TEMP>=4时,表示表决通过,当TEMP<4时表决不通过。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY VOTE7 IS

PORT (MEN:IN STD_LOGIC_VECTOR(6 DOWNTO 0);

OUTPUT: OUT BIT);

END VOTE7;

ARCHITECTURE BEHA VE OF VOTE7 IS

BEGIN

PROCESS(MEN)

V ARIABLE TEMP: INTEGER RANGE 0 TO 7;

BEGIN

TEMP:=0;

FOR I IN 0 TO 6 LOOP

IF(MEN(I)='1')THEN

TEMP:=TEMP+1;

ELSE

TEMP:=TEMP;

END IF;

END LOOP;

CASE TEMP IS

WHEN 0 TO 3 =>OUTPUT<='0';

WHEN 4 TO 7 =>OUTPUT<='1';

END CASE ;

END PROCESS;

END BEHA VE;

第四章

4-6试写出4选1多路选择器VHDL描述。选择控制信号为S1和S0,输入信号为A,B,C,D,输出信号为Y。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY MUX41A IS

PORT (A,B,C,D,S0,S1:IN STD_LOGIC; --输入信号

Y:OUT STD_LOGIC); --输出信号

END ENTITY MUX41A;

ARCHITECTURE ONE OF MUX41A IS

SIGNAL S:STD_LOGIC_VECTOR(1 DOWNTO 0);

BEGIN

S<=S0&S1; --并置操作,获得二维矢量数据类型

PROCESS(S0,S1,A,B,C,D) --敏感信号

BEGIN

CASE S IS

WHEN"00"=> Y<=A;

WHEN"01"=> Y<=B;

WHEN"10"=> Y<=C;

WHEN"11"=> Y<=D;

WHEN OTHERS=>NULL; --其它情况为空值

END CASE; --CASE语句结束

END PROCESS; --PROCESS进程语句结束

END ARCHITECTURE ONE;

4-7使给出1位全减器的VHDL描述,要求:首先设计1位半减器,然后用例化语句将它们连接起来。设X为被减数,Y为减数,SUB_IN是借位输入,DIFF是输出差,SUB_OUT是借位输出。

(1.1):实现1位半减器H_SUBER(DIFF=X-Y;S_OUT=1,X

LIBRARY IEEE; --半减器描述(1):布尔方程描述方法

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY H_SUBER IS

PORT( X,Y: IN STD_LOGIC;

DIFF,S_OUT: OUT STD_LOGIC);

END ENTITY H_SUBER;

ARCHITECTURE HS1 OF H_SUBER IS

BEGIN

DIFF <= X XOR (NOT Y);

S_OUT <= (NOT X) AND Y;

END ARCHITECTURE HS1;

--解(1.2):采用例化实现图4-20的1位全减器

LIBRARY IEEE; --1位二进制全减器顺层设计描述

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY F_SUBER IS

PORT(XIN,YIN,SUB_IN: IN STD_LOGIC;

SUB_OUT,DIFF_OUT: OUT STD_LOGIC);

END ENTITY F_SUBER;

ARCHITECTURE FS1 OF F_SUBER IS

COMPONENT H_SUBER --调用半减器声明语句

PORT(X, Y: IN STD_LOGIC;

DIFF,S_OUT: OUT STD_LOGIC);

END COMPONENT;

SIGNAL A,B,C: STD_LOGIC; --定义1个信号作为内部的连接线。

BEGIN

U1:H_SUBER PORT MAP(X=>XIN,Y=>YIN, DIFF=>A, S_OUT=>B); U2:H_SUBER PORT MAP(X=>A, Y=>SUB_IN, DIFF=>DIFF_OUT,S_OUT=>C);

SUB_OUT <= C OR B;

END ARCHITECTURE FS1;

二进制全加器,元件声明与元件例化(COMPONENT,PORT MAP)

//或门

LIBRARY IEEE; ;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY OR2A IS

PORT(A,B : IN STD_LOGIC;

C : OUT STD_LOGIC);

END OR2A;

ARCHITECTURE ART1 OF OR2A IS

BEGIN

C<=A OR B;

END ART1;

//半加器;

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY H_ADDER IS

PORT(A,B : IN STD_LOGIC;

CO,SO: OUT STD_LOGIC);

END H_ADDER;

ARCHITECTURE ART2 OF H_ADDER IS

BEGIN

SO <= A XOR B;

CO <= A AND B;

END ART2;

1位二进制全加器顶层设计:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164。ALL;

ENTITY F_ADDER IS

PORT(AIN,BIN,CIN : IN STD_LOGIC;

COUT,SUM : OUT STD_LOGIC);

END F_ADDER;

ARCHITECTURE ART3 OF F_ADDER IS

COMPONENT H_ADDER //元件声明;

PORT(A,B : IN STD_LOGIC;

CO,SO: OUT STD_LOGIC);

END COMPONENT;

COMPONENT OR2A

PORT(A,B : IN STD_LOGIC;

C : OUT STD_LOGIC);

END COMPONENT;

SIGNAL D,E,F : STD_LOGIC;

BEGIN

U1:H_ADDER PORT MAP(AIN,BIN,D,E); //元件例化;

U2:H_ADDER PORT MAP(A=>E,B=>CIN,CO=>F,SO=>SUM);

U3:OR2A PORT MAP(D,F,COUT);

END ART3;

第五章

5-1.试说明实体端口模式BUFFER和INOUT的不同之处?

答: BUFFER端口:缓冲模式,具有读功能的输出模式,即信号输出到实体外部,但同时也在内部反馈使用,不允许作为双向端口使用。而INOUT端口:双向模式,即信号的流通是双向的,既可以对此端口赋值,也可以通过此端口读入数据。

5-2.VHDL的数据对象有哪几种?它们之间有什么不同?

答:VHDL的数据对象有三种:信号、变量、常量。它们之间的的区别如下:

信号赋值至少有Δ延时,而变量和常量没有;信号除当前值外,有许多相关信息,变量只有当前值,常量的值在设计实体中始终不变;进程对信号敏感而对变量及常量不敏感;信号可以是多个进程的全局信

号,变量只在定义它们的顺序域可见,而常量的使用范围取决于它被定义的位置;信号是硬件连线的抽象描述信号赋值,赋值符号 <= 而变量和常量的赋值符号 :=。

5-3.说明下列各定义的意义:

SIGNAL a , b , c : BIT : =’0’;

CONSTANT TIME1 , TIME2 : TIME : 20ns ;

VARIABLE x , y , z : STD_LOGIC :=’x’;

答:○1定义3个位数据类型的信号A、B、C,它们取值为0;

○2定义2个时间数据类型的常量TIME1、TIME2,它们值为20NS; ○3定义3个标准逻辑位 STD_LOGIC数据类型的变量X、Y、Z,它们的值是强未知的。

5-4.什么是重载函数?重载运算符有何用处?如何调用重载运算符函数?

答:为了方便各种不同数据类型间的运算,VHDL允许用户对原有的基本操作符重新定义,赋予新的含义和功能,从而建立一种新的操作符,这就是重载操作符,定义这种操作符的函数成为重载函数。重载运算符的作用是为了方便各种不同的数据类型间的运算。要调用重载运算符函数,先要在程序包中进行函数体的定义,调用的格式如下:X <=函数名(参数1,参数2,····)参数个数和类型与所定义的函数要保持一致。

5-5.数据类型BIT \ INTEGER \ BOOLEAN分别定义在哪个库中?哪些库和程序包总是可见的?

答:数据类型BIT \ INTEGER \ BOOLEAN均定义在STD库中。IEEE 库和程序包STD_LOGIC.1164 、STD_LOGIC_UNSIGNED、STD_LOGIC_SIGNED、STD_LOGIC_ARITH等总是可见的。

5-6.函数和过程有什么区别?

答:子程序有两种类型,即过程(PROCEDURE)和函数(FUNCTION)。它们的区别在于:过程的调用可以通过其界面获得多个返回值,而函数只能返回一个值;在函数入口中,所有参数都是输入参数,而过程有输入参数、输出参数和双向参数;过程一般被看作一种语句结构,而函数通常是表达式的一部分;过程可以单独存在,而函数通常作为语句的一部分调用。

5-7.若在进程中加入WAIT语句,应注意哪几个方面的问题?

答:应注意以下问题:○1已列出敏感信号的进程中不能使用任何形式的WAIT语句;○2一般情况下,只有WAIT UNTIL格式的等待语句可以被综合器所接受,其余语句格式只能在VHDL仿真器中使用;○3在使用WAIT ON语句的进程中,敏感信号量应写在进程中的WAIT ON语句后面;○4在不使用WAIT ON语句的进程中,敏感信号量应在开头的关键词PROCESS后面的敏感信号表中列出。

5-8.哪些情况下需用到程序包STD_LOGIC_UNSIGNED?试举一例。答:○1调用数据类型变换函数或重载运算符函数时;○2定义UNSIGNED 类型的数据时。举例如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

·

·

IF TEMP="11111111"THEN TEMP:= "00000000";

ELSE TEMP:=TEMP+16;END IF;···

5-9.为什么说一条并行赋值语句可以等效为一个进程?如果是这样的话,怎样实现敏感信号的检测?

答:因为信号赋值语句的共同点是赋值目标必须都是信号,所有赋值语句与其它并行语句一样,在结构体内的执行是同时发生的,与它们的书写顺序没有关系,所以每一信号赋值语句都相当于一条缩写的进程语句。由于这条语句的所有输入信号都被隐性地列入此缩写进程的敏感信号表中,故任何信号的变化都将相关并行语句的赋值操作,这样就实现了敏感信号的检测。

5-10.比较CASE语句和WITH_SELECT语句,叙述它们的异同点?答:相同点:CASE语句中各子句的条件不能有重叠,必须包容所有的条件;WITH_SECLECT语句也不允许选择值有重叠现象,也不允许选择值涵盖不全的情况。另外,两者对子句各选择值的测试都具有同步性,都依赖于敏感信号的变化。不同点:CASE语句只能在进程中使用,至少包含一个条件语句,可以有多个赋值目标;WITH_SECLECT 语句根据满足的条件,对信号进行赋值,其赋值目标只有一个,且必须是信号。

5-11.将以下程序段转换为WHEN_ELSE语句:

PROCESS (a , b ,c ,d)

BEGIN

IF a=’0’AND b=’1’THEN NEXT1 <="1101";

ELSEIF a=’0’THEN NEXT1 <=d;

ELSEIF b=’1’THEN NEXT1 <=c;

ELSE NEXT1 <="1011";

END IF;

END PROCESS;

原程序转换如下:

ARCHITECTURE one OF mux IS

BEGIN

PROCESS (a , b ,c ,d)

BEGIN

NEXT1 <="110"1WHEN a=’0’AND b=’1’ELSE

d WHEN a=’0’ELSE

c WHEN b=’1’ELSE

"1011";

END one;

END PROCESS;

5-12使给出一位全减器的算法描述、数据流描述、结构描述和混合描述。

数据流描述:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY F_SUB1 IS

PORT(

A,B :IN STD_LOGIC;

CIN :IN STD_LOGIC;

DIFF,COUT : OUT STD_LOGIC

);

END;

ARCHITECTURE A OF F_SUB1 IS

SIGNAL S :STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

S<=CIN&A&B;

PROCESS(A,B,CIN)

BEGIN

CASE S IS

WHEN "000" => DIFF<='0';COUT<='0';

WHEN "001" => DIFF<='1';COUT<='1';

WHEN "010" => DIFF<='1';COUT<='0';

WHEN "011" => DIFF<='0';COUT<='0';

WHEN "100" => DIFF<='1';COUT<='1';

WHEN "101" => DIFF<='0';COUT<='1';

WHEN "110" => DIFF<='0';COUT<='0';

WHEN "111" => DIFF<='1';COUT<='1';

WHEN OTHERS=> DIFF<='X';COUT<='X';

END CASE;

END PROCESS;

END A;

行为描述:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY F_SUB4 IS

PORT(

A,B,CIN : IN STD_LOGIC;

DIFF,COUT : OUT STD_LOGIC

);

END;

ARCHITECTURE A OF F_SUB4 IS

BEGIN

DIFF<=A XOR B XOR CIN;

COUT<=(NOT A AND B) OR (NOT A AND CIN) OR (B AND CIN); END A;

5-13用VHDL描述下列器件的功能:

(1)十进制——BCD码编码器,输出使能为低电平有效。LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY BIN_BCD IS

PORT(

BIN : IN INTEGER RANGE 0 TO 20;

--ENA : IN STD_LOGIC;

BCD_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)

);

END;

ARCHITECTURE A OF BIN_BCD IS

BEGIN

BINARY_BCD : BLOCK

BEGIN

BCD_OUT <= "00000000" WHEN BIN = 0 ELSE

"00000001" WHEN BIN = 1 ELSE

"00000010" WHEN BIN = 2 ELSE

"00000011" WHEN BIN = 3 ELSE

"00000100" WHEN BIN = 4 ELSE

"00000101" WHEN BIN = 5 ELSE

"00000110" WHEN BIN = 6 ELSE

"00000111" WHEN BIN = 7 ELSE

"00001000" WHEN BIN = 8 ELSE

"00001001" WHEN BIN = 9 ELSE

"00010000" WHEN BIN = 10 ELSE

"00010001" WHEN BIN = 11 ELSE

"00010010" WHEN BIN = 12 ELSE

"00010011" WHEN BIN = 13 ELSE

"00010100" WHEN BIN = 14 ELSE

"00010101" WHEN BIN = 15 ELSE

"00010110" WHEN BIN = 16 ELSE

"00010111" WHEN BIN = 17 ELSE

"00011000" WHEN BIN = 18 ELSE

"00011001" WHEN BIN = 19 ELSE

"00100000" WHEN BIN = 20 ELSE

"00000000";

END BLOCK;

END A;

(2)时钟(可控)RS触发器。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY FFRS IS

PORT(S,R: IN STD_LOGIC;

Q,QB: OUT STD_LOGIC);

END FFRS;

ARCHITECTURE RTL OF FFRS IS

SIGNAL QN,NQN: STD_LOGIC;

BEGIN

QN<= R NOR NQN;

NQN<= S NOR QN;

Q<=QN;

QB<=NQN;

END RTL;

(3)带复位端、置位端、延迟为15NS的响应CP下降沿触发的JK触发器。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY JKFF IS

PORT(

J,K,RST,CLR : IN BIT;

CLK : IN BIT;

Q,NQ : OUT BIT

);

END;

ARCHITECTURE A OF JKFF IS

SIGNAL Q_S,NQ_S : BIT;

BEGIN

PROCESS(J,K,RST,CLR,CLK)

BEGIN

IF RST='1' THEN

Q_S<='1';

NQ_S<='0';

ELSIF CLK'EVENT AND CLK='0' THEN

IF CLR='1' THEN

Q_S<='0';

NQ_S<='1';

ELSIF J='0' AND K='1' THEN

Q_S<='0';

NQ_S<='1';

ELSIF J='1' AND K='0' THEN

Q_S<='1';

NQ_S<='0';

ELSIF J='1' AND K='1' THEN

Q_S<=NOT Q_S;

NQ_S<=NOT NQ_S;

END IF;

ELSE

NULL;

END IF;

Q<=Q_S;

NQ<=NQ_S;

END PROCESS;

END A;

(4)集成计数器74161

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT4 IS

PORT(

CLK,LDN,CLRN : IN STD_LOGIC;

D,C,B,A : IN STD_LOGIC;

CARRY : OUT STD_LOGIC;

QD,QC,QB,QA : OUT STD_LOGIC

);

END;

ARCHITECTURE A OF CNT4 IS

SIGNAL DATA_IN: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

DATA_IN<=D&C&B&A;

PROCESS(DATA_IN,CLK,LDN,CLRN)

V ARIABLE CNT:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

IF CLRN='0' THEN

CNT:=(OTHERS=>'0');

ELSIF CLK'EVENT AND CLK='1' THEN

IF LDN='0' THEN

CNT:=DATA_IN;

ELSE

CNT:=CNT+1;

END IF;

END IF;

CASE CNT IS

WHEN "1111"=> CARRY<='1';

WHEN OTHERS=> CARRY<='0';

END CASE;

QA<=CNT(0);

QB<=CNT(1);

QC<=CNT(2);

QD<=CNT(3);

END PROCESS;

END A;

5-14用VHDL描述一个三态输出的双4选一的数据选择器,其地址信号共用,且各有一个低电平有效的使能端。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY DUAL_MUX_41 IS

PORT(

A,B,C,D : IN STD_LOGIC;

ENA_N,ENB_N : IN STD_LOGIC;

S : IN STD_LOGIC_VECTOR(1 DOWNTO 0);

OUTA,OUTB : OUT STD_LOGIC

);

END;

ARCHITECTURE A OF DUAL_MUX_41 IS

SIGNAL P,Q : STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

P<=ENA_N & S;

Q<=ENB_N & S;

WITH P SELECT

OUTA<=A WHEN "000",

B WHEN "001",

C WHEN "010",

D WHEN "011",

'Z' WHEN OTHERS;

WITH Q SELECT

OUTB<=A WHEN "000",

B WHEN "001",

C WHEN "010",

D WHEN "011",

'Z' WHEN OTHERS;

END A;

5-15试用并行信号赋值语句分别描述下列器件的功能:

(1)3-8译码器

(2) 8选1数据选择器

(1)功能描述如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY decoder38 IS

PORT(a,b,c,g1,g1a,a2b:IN STD_LOGIC;

q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END decoder38;

ARCHITECTURE behave38 OF decoder38 IS

SIGNAL inda: STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

inda<=c&b&a;

PROCESS(indata,g1,g2a,g2b)

BEGIN

IF(g1='1' AND g2a='0' AND g2b='0') THEN

CASE inda IS

WHEN "000"=>q<="11111110";

WHEN "001"=>q<="11111101";

WHEN "010"=>q<="11111011";

WHEN "011"=>q<="11110111";

WHEN "100"=>q<="11101111";

WHEN "101"=>q<="11011111";

WHEN "110"=>q<="10111111";

WHEN "111"=>q<="01111111";

WHEN OTHERS =>q<="XXXXXXXX";

END CASE;

ELSE

q<="11111111";

END IF;

END PROCESS;

END behave38;

(2)功能描述如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mux8 IS

PORT(d0,d1,d2,d3,d4,d5,d6,d7:IN STD_LOGIC_VECTOR(7 DOWNTO

0);

s0,s1,s2:IN STD_LOGIC;

q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END mux8;

ARCHITECTURE behave OF mux8 IS

SIGNAL s: STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

s <=s2&s1&s0;

WITH s SECLECT

d <=d0 WHEN "000",

d1 WHEN "001",

d2 WHEN "010",

d3 WHEN "011",

d4 WHEN "100",

d5 WHEN "101",

d6 WHEN "110",

d7 WHEN "111",

‘X’WHEN OTHERS;

END behave;

5-16利用生产语句描述一个由n个一位全减器构成的n位减法器,n 的默认值为4。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY F_SUB4_2 IS

GENERIC (N : INTEGER := 4);

PORT(

A,B : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0);

CIN : IN STD_LOGIC;

DIFF: OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0);

COUT: OUT STD_LOGIC

);

END;

ARCHITECTURE A OF F_SUB4_2 IS

COMPONENT F_SUB1 IS

PORT(

A,B,CIN : IN STD_LOGIC;

DIFF,COUT : OUT STD_LOGIC

);

END COMPONENT;

SIGNAL C :STD_LOGIC_VECTOR(N DOWNTO 0);

BEGIN

C(0)<=CIN;

N1: FOR I IN 0 TO N-1 GENERATE

U1: F_SUB1 PORT MAP(A(I),B(I),C(I),DIFF(I),C(I+1));

END GENERATE;

COUT<=C(N);

END A;

5-17用VHDL语言设计实现输出占空比为50%的1000分频器。LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY DIV_1000 IS

PORT(

CLK ,CLR: IN STD_LOGIC;

DIV : OUT STD_LOGIC

);

END;

ARCHITECTURE A OF DIV_1000 IS

SIGNAL Q : STD_LOGIC;

BEGIN

DIV<=Q;

PROCESS(CLK,CLR)

V ARIABLE CNT : INTEGER RANGE 0 TO 499; BEGIN

IF CLR='1' THEN

CNT:=0; Q<='0';

ELSIF RISING_EDGE(CLK) THEN

IF CNT=499 THEN

CNT:=0;

Q<=NOT Q;

ELSE

CNT:=CNT+1;

END IF;

END IF;

END PROCESS;

END A;

第四章 练习题及参考答案

第四章 静态场的解 练习题 1、设点电荷q 位于金属直角劈上方,其坐标如右图所示,求 (1) 画出镜像电荷所在的位置 (2) 直角劈内任意一点),,(z y x 处的电位表达式 (3) 解:(1)镜像电荷所在的位置如图1所示。 (2)如图2所示任一点),,(z y x 处的电位为 ??? ? ??-+-= 4321011114r r r r q πεφ 其中, ()()()()()()()()2 22422 232 2222 22121212121z y x r z y x r z y x r z y x r +-++= ++++=+++-=+-+-= 2、 两个点电荷Q +和Q -位于半径为a 的接地导体球的直径延长线上,距球心均为 d 。证明镜像电荷构成一位于球心的电偶极子,且偶极矩大小为232d Q a 。 证明:由点电荷的球面镜像法知,+Q 和-Q 的镜像电荷Q Q ''',分别位于球内+Q 和- Q 连线上大小分别为Q D a μ,且分别距球心为D a 2(分别位于球心两侧)。可见Q Q ''',构 成电偶极子,由电偶极距的定义式得偶极距的大小为: 图1 图2 q - q +q -

2 322D Q a D a Q D a ql p =?==。结论得证。 3、已知一个半径为a 的接地导体球,球外一个点电荷q 位于距球心O 为d 处。利用镜像法求球外空间任意点的电位分布。 解:由点电荷的球面镜像法可知,q 的像电荷q '必定位于球内,且在q 与球心0连线上,位置在距离球心设为f 处。建立直角坐标系,由边界条件(?球)=0可取球面上两个特殊点B A ,讨论。B A ,是q 与球心0连线所对应的直径与球面的两个交点。由图示及点电荷的电位公式得: 0)(4)(4)(00=+' ++= f a q a d q A πεπε?, 0) (4)(4)(00=-' +-= f a q a d q B πεπε?。 解此方程组得:d a f q d a q 2 ,=-='。 所以任意场点),(y x P 处的电位为: r q r q ' '+ = 0044πεπε?。 其中r r ',分别是点电荷q 和q ' 到场点P 的距离。 值分别为21 2221 22])[(,])[(y f x r y d x r +-='+-=。 4、半径为a 的不接地导体球附近距球心O 为d (?d a )处有一点电荷q ,用镜像法计算 球外任一点的电位。 解:由点电荷的球面镜像法可知,q 的像电荷除了有q '(即导体球接地时对应的结果, q d a q -=',其位置为d a f 2=),还在球心处有另外一个镜像电荷q '',以保证导体球面电 势不为零的边界条件成立,且可知q q '-=''。 所以任意场点P 处的电位为: r q r q r q ' '''+ ' '+ = 000444πεπεπε?

第四章课后习题答案

4-8 一个半径为r =1m ,转速为1500r/min 的飞轮,受到制动,均匀减速,经时间t =50s 后静止,求:(1)飞轮的角加速度和飞轮的角速度随时间的关系;(2)飞轮到静止这段时间内转过的转数;(3)t =25s 时飞轮边缘上一点的线速率和加速度的大小。 解 (1)由于均匀减速,所以角加速度不变为 2015000.5/6050r r s s s β-= =-? 由角速度和角加速度的关系得 25/0 t r s d dt ω ωβ=? ? 得 250.5(/)t r s ω=- (2) d d d d dt dt d d ωωθωω βθθ = == 25/r s d d θβθωω=? ? 解得 625r θ= 所以转数为625 (3)由于250.5(/)t r s ω=- 所以t=25s 时 12.5/25(/)r s rad s ωπ== 所以线速率为 25(/)v r m s ωπ== 角加速度大小不变 4-9 某电机的转速随时间的关系为ω=ω0(1-e -t/τ ),式中,ω0=s ,τ=,求:(1) t =时的转速;(2)角加速度随时间变化的规律;(3)启动6s 后转过的圈数。 解 (1)t=60s 代入得 39(1)(/)8.6/e rad s rad s ω-=-= (2)由d dt ω β= 得 2 4.5t e β- = (3)由6 d dt θθω=?? 33618e θ-=+ [/2][5.87]5n θπ===

4-10 一个圆盘绕穿过质心的轴转动,其角坐标随时间的关系为θ(t )=γt+βt 3 ,其初始转速为零,求其转速随时间变化的规律。 解 由d dt θ ω= 得 23t ωγβ=+ 由于初始时刻转速为零,γ=0 23t ωβ= 4-11 求半径为R ,高为h ,质量为m 的圆柱体绕其对称轴转动时的转动惯量。 解 建立柱坐标,取圆柱体上的一个体元,其对转轴的转动惯量为 2 222 m m dJ dV d d dz R h R h ρρρρθππ== 积分求得 23220001 2 R h m J d d dz mR R h πρρθπ= =??? 4-12一个半径为R ,密度为ρ的薄板圆盘上开了一个半径为R/2的圆孔,圆孔与盘边缘相切。求该圆盘对通过圆盘中心而与圆盘垂直的轴的转动惯量。 解:把圆孔补上,取圆盘上一面元dS ,到转轴的距离为r ,则其转动惯量为 22dJ r dS r rdrd ρρθ== 积分得绕轴转动惯量为 23410 1 2 R J r drd R π ρθπρ==? ? 圆孔部分的绕轴转动惯量可由平行轴定理得 4 422213()()()222232 R R R R J πρπρρπ=+= 总的转动惯量为 4 121332 R J J J πρ=-= 4-13电风扇在开启电源后,经过t 1时间达到额定转速ω,当关闭电源后,经过t 2时间后停止转动,已知风扇转子的转动惯量为J ,并假定摩擦力矩和电动机的电磁力矩均为常量,求电动机的电磁力矩。 解:由转动定理得

统计学第五章课后题及答案解析

第五章 一、单项选择题 1.抽样推断的目的在于() A.对样本进行全面调查 B.了解样本的基本情况 C.了解总体的基本情况 D.推断总体指标 2.在重复抽样条件下纯随机抽样的平均误差取决于() A.样本单位数 B.总体方差 C.抽样比例 D.样本单位数和总体方差 3.根据重复抽样的资料,一年级优秀生比重为10%,二年级为20%,若抽样人数相等时,优秀生比重的抽样误差() A.一年级较大 B.二年级较大 C.误差相同 D.无法判断 4.用重复抽样的抽样平均误差公式计算不重复抽样的抽样平均误差结果将()A.高估误差 B.低估误差 C.恰好相等 D.高估或低估 5.在其他条件不变的情况下,如果允许误差缩小为原来的1/2,则样本容量()A.扩大到原来的2倍 B.扩大到原来的4倍 C.缩小到原来的1/4 D.缩小到原来的1/2 6.当总体单位不很多且差异较小时宜采用() A.整群抽样 B.纯随机抽样 C.分层抽样 D.等距抽样 7.在分层抽样中影响抽样平均误差的方差是() A.层间方差 B.层内方差 C.总方差 D.允许误差 二、多项选择题 1.抽样推断的特点有() A.建立在随机抽样原则基础上 B.深入研究复杂的专门问题 C.用样本指标来推断总体指标 D.抽样误差可以事先计算 E.抽样误差可以事先控制 2.影响抽样误差的因素有() A.样本容量的大小 B.是有限总体还是无限总体 C.总体单位的标志变动度 D.抽样方法 E.抽样组织方式 3.抽样方法根据取样的方式不同分为() A.重复抽样 B.等距抽样 C.整群抽样 D.分层抽样 E.不重复抽样 4.抽样推断的优良标准是() A.无偏性 B.同质性 C.一致性 D.随机性 E.有效性 5.影响必要样本容量的主要因素有() A.总体方差的大小 B.抽样方法

数据库课后习题答案

(1)在test01数据库中使用Transact-SQL语句创建表:book(book_id nchar(6),book_name nchar(30),price numeric(10,2)和表author(author_name nchar(4),book_id nchar(6),address nchar(30)).设置book中的book_id为主键,并设置两个表的关系。)) 解:T-SQL语句和执行情况如下: CREATE DATABASE test01 go USE TEST01 GO CREATE TABLE test01.dbo.book( book_id nchar(6)NOT NULL, book_name nchar(30)NULL, price numeric(10,2)NULL, PRIMARY KEY(book_id) )

ON [PRIMARY] CREATE TABLE test01.dbo.author( author_name nchar(4)NULL, book_id nchar(6)NULL, address nchar(30)NULL ) ALTER TABLE dbo.author WITH CHECK ADD CONSTRAINT PKT54_db FOREIGN KEY(book_id) REFERENCES test01.dbo.book (book_id) GO

(2)在 test01 数据库中利用 Transact-SQL 语句创建一个图书销售 表 booksales (book_id nchar(6),sellnum int, selldate datet ime)。分别利用 insert 、delete、update 语句添加、删除和更新数据。 CREATE DATABASE test01 Go USE test01 GO CREATE TABLE booksales( book_id nchar(6)NOT NULL, sellnum int NOT NULL,

数据库应用基础第4章习题参考答案

习题 1.选择题 (1)设A、B两个数据表的记录数分别为3和4,对两个表执行交叉联接查询,查询结果中最多可获得(C )条记录。 A.3 B. 4 C. 12 D. 81 (2)如果查询的SELECT子句为SELECT A, B, C * D,则不能使用的GROUP B子句是( A )。 A.GROUP BY A B.GROUP BY A,B C.GROUP BY A,B,C*D D.GROUP BY A,B,C,D (3)关于查询语句中ORDER BY子句使用正确的是( C )。 A.如果未指定排序字段,则默认按递增排序 B.数据表的字段都可用于排序 C.如果在SELECT子句中使用了DISTINCT关键字,则排序字段必须出现在查询结果中 D.联合查询不允许使用ORDER BY子句 (4)在查询设计器中,不能与其他窗格保持同步的是(D )。 A.关系图窗格 B. 网格窗格 C.SQL窗格 D. 结果窗格 (5)下列函数中,返回值数据类型为int的是(B)。 A.LEFT B. LEN C.LTRIM D. SUNSTRING 2.填空题 (1) 在启动查询分析器时,在登录对话框中可使用(Local)作为本地服务器名称。 (2) 查询分析器窗口主要由对象浏览器和(查询)窗口组成。 (3) 从Windows“开始”菜单启动查询分析器后,默认数据库为(master)。 (4) 以表格方式显示的查询结果保存为(导出)文件,其文件扩展名为(csv);以文本方式显示的查询结果保存为(报表)文件,其文件扩展名为(rpt)。 (5) 可使用(PRINT)或(SELECT)语句来显示函数结果。 (6) 在查询语句中,应在(SELECT)子句中指定输出字段。 (7) 如果要使用SELECT语句返回指定条数的记录,则应使用(TOP)关键字来限定输出字段。 (8) 联合查询指使用(UNION)运算将多个(查询结果)合并到一起。 (9) 当一个子SELECT的结果作为查询的条件,即在一个SELECT语句的WHERE子句中出现另一个SELECT语句,这种查询称为(嵌套)查询。 (10) 连接查询可分为3种类型:(内连接)、(外连接)和交叉连接。 3.问答题 (1) 在SELECT语句中,根据列的数据对查询结果进行排序的子句是什么?能消除重复行的关键字是什么? (2) 写出与表达式“仓库号NOT IN('wh1','wh2')”功能相同的表达式。用BETWEEN、AND形式改写条件子句WHERE mark> 550 AND mark<650。 (3) 在一个包含集合函数的SELECT语句中,GROUP BY子句有哪些用途?

第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及为操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

(完整版)数据库课后习题及答案

第一章数据库系统概述 选择题 1实体-联系模型中,属性是指(C) A.客观存在的事物 B.事物的具体描述 C.事物的某一特征 D.某一具体事件 2对于现实世界中事物的特征,在E-R模型中使用(A) A属性描述B关键字描述C二维表格描述D实体描述 3假设一个书店用这样一组属性描述图书(书号,书名,作者,出版社,出版日期),可以作为“键”的属性是(A) A书号B书名C作者D出版社 4一名作家与他所出版过的书籍之间的联系类型是(B) A一对一B一对多C多对多D都不是 5若无法确定哪个属性为某实体的键,则(A) A该实体没有键B必须增加一个属性作为该实体的键C取一个外关键字作为实体的键D该实体的所有属性构成键 填空题 1对于现实世界中事物的特征在E-R模型中使用属性进行描述 2确定属性的两条基本原则是不可分和无关联 3在描述实体集的所有属性中,可以唯一的标识每个实体的属性称为键 4实体集之间联系的三种类型分别是1:1 、1:n 、和m:n 5数据的完整性是指数据的正确性、有效性、相容性、和一致性 简答题 一、简述数据库的设计步骤 答:1需求分析:对需要使用数据库系统来进行管理的现实世界中对象的业务流程、业务规则和所涉及的数据进行调查、分析和研究,充分理解现实世界中的实际问题和需求。 分析的策略:自下而上——静态需求、自上而下——动态需求 2数据库概念设计:数据库概念设计是在需求分析的基础上,建立概念数据模型,用概念模型描述实际问题所涉及的数据及数据之间的联系。 3数据库逻辑设计:数据库逻辑设计是根据概念数据模型建立逻辑数据模型,逻辑数据模型是一种面向数据库系统的数据模型。 4数据库实现:依据关系模型,在数据库管理系统环境中建立数据库。 二、数据库的功能 答:1提供数据定义语言,允许使用者建立新的数据库并建立数据的逻辑结构 2提供数据查询语言 3提供数据操纵语言 4支持大量数据存储 5控制并发访问 三、数据库的特点 答:1数据结构化。2数据高度共享、低冗余度、易扩充3数据独立4数据由数据库管理系统统一管理和控制:(1)数据安全性(2)数据完整性(3)并发控制(4)数据库恢复 第二章关系模型和关系数据库 选择题 1把E-R模型转换为关系模型时,A实体(“一”方)和B实体(“多”方)之间一对多联系在关系模型中是通过(A)来实现的

第四章课后思考题及参考答案

第四章课后思考题及参考答案 1、为什么说资本来到世间,从头到脚,每个毛孔都滴着血和肮脏的东西? [答案要点]资本来到世间,从头到脚,每个毛孔都滴着血和肮脏的东西。资本主义的发展史,就是资本剥削劳动、列强掠夺弱国的历史,这种剥夺的历史是用血和火的文字载入人类编年史的。在自由竞争时代,西方列强用坚船利炮在世界范围开辟殖民地,贩卖奴隶,贩卖鸦片,依靠殖民战争和殖民地贸易进行资本积累和扩张。发展到垄断阶段后,统一的、无所不包的世界市场和世界资本主义经济体系逐步形成,资本家垄断同盟为瓜分世界而引发了两次世界大战,给人类带来巨大浩劫。二战后,由于社会主义的胜利和民族解放运动的兴起,西方列强被迫放弃了旧的殖民主义政策,转而利用赢得独立和解放的广大发展中国家大规模工业化的机会,扩大资本的世界市场,深化资本的国际大循环,通过不平等交换、资本输出、技术垄断以及债务盘剥等,更加巧妙地剥削和掠夺发展中国家的资源和财富。在当今经济全球化进程中,西方发达国家通过它们控制的国际经济、金融等组织,通过它们制定的国际“游戏规则”,推行以所谓新自由主义为旗号的经济全球化战略,继续主导国际经济秩序,保持和发展它们在经济结构和贸易、科技、金融等领域的全球优势地位,攫取着经济全球化的最大好处。资本惟利是图的本性、资本主义生产无限扩大的趋势和整个社会生产的无政府状态,还造成日益严重的资源、环境问题,威胁着人类的可持续发展和生存。我们今天看到的西方发达资本主义国家的繁荣稳定,是依靠不平等、不合理的国际分工和交换体系,依靠发展中国家提供的广大市场、廉价资源和廉价劳动力,通过向发展中国家转嫁经济社会危机和难题、转移高耗能高污染产业等方式实现的。资本主义没有也不可能给世界带来普遍繁荣和共同富裕。 2、如何理解商品二因素的矛盾来自劳动二重性的矛盾,归根结底来源于私人劳动和社会劳的矛盾?[答案要点]商品是用来交换的劳动产品,具有使用价值和价值两个因素或两种属性。在私有制条件下,商品所包含使用价值和价值的矛盾是由私有制为基础的商品生产的基本矛盾即私人劳动和社会劳动的矛盾所决定的。以私有制为基础的商品经济是以生产资料的私有制和社会分工为存在条件的。一方面,在私有制条件下,生产资料和劳动力都属于私人所有,他们生产的产品的数量以及品种等,完全由自己决定,劳动产品也归生产者自己占有和支配,或者说,商品生产者都是独立的生产者,他们要生产什么,怎样进行生产,生产多少,完全是他们个人的私事。因此,生产商品的劳动具有私人性质,是私人劳动。另一方面,由于社会分工,商品生产者之间又互相联系、互相依存,各个商品生产者客观上都要为满足他人和社会的需要而进行生产。因此,他们的劳动又都是社会劳动的组成部分。这样,生产商品的劳动具有社会的性质,是社会劳动。对此,马克思指出,当劳动产品转化为商品后,“从那时起,生产者的私人劳动真正取得了二重的社会性质。一方面,生产者的私人劳动必须作为一定的有用劳动来满足一定的社会需要,从而证明它们是总劳动的一部分,是自然形成的社会分工体系的一部分。另一方面,只有在每一种特殊的有用的私人劳动可以同任何另一种有用的私人劳动相交换从而相等时,生产者的私人劳动才能满足生产者本人的多种需要。完全不同的劳动所以能够相等,只是因为它们的实际差别已被抽去,它们已被化成它们作为人类劳动力的耗费、作为抽象的人类劳动所具有的共同性质。”私有制条件下,商品生产者私人劳动所具有的这二重性质,表现为生产商品的劳动具有私人劳动和社会劳动的二重性。 生产商品的私人劳动和社会劳动是统一的,同时也是对立的。其矛盾性表现在:作为私人劳动,一切生产活动都属于生产者个人的私事,但作为社会劳动,他的产品必须能够满足一定的社会需要,他的私人劳动才能转化为社会劳动。而商品生产者的劳动直接表现出来的是它的私人性,并不是它的社会性,他的私人劳动能否为社会所承认,即能否转化为社会劳动,他自己并不能决定,于是就形成了私人劳动和社会劳动的矛盾。这一矛盾的解决,只有通过商品的交换才能实现。当他的产品在市场上顺利地实现了交换之后,他的私人劳动也就成了社会劳动的一部分,他的具体劳动所创造的使用价值才是社会需要的,他的抽象劳动所形成的价值才能实现。如果他的劳动产品在市场上没有卖出去,那就表明,尽管他是为社会生产的,但事实上,社会并不需要他的产品,那么他的产品

第四章课后习题参考答案

1 数据链路(即逻辑链路)与链路(即物理链路)有何区别?“电路接通了”与“数据 链路接通了”的区别何在? 答:(1)数据链路与链路的区别在于数据链路除链路外,还必须有一些必要的通信协议来控制数据的传输。因此,数据链路比链路多了实现通信协议所需要的硬件和软件。 (2)“电路接通了”表示链路两端的结点交换机已经开机,物理连接已经能够传送比特流了。但是,数据传输并不可靠。在物理连接基础上,再建立数据链路连接,才是“数据链路接通了”。此后,由于数据链路连接具有检测、确认和重传等功能,才使不太可靠的物理链路变成可靠的数据链路,进行可靠的数据传输。当数据链路断开连接时,物理电路连接不一定跟着断开连接。 2 数据链路层中的链路控制包括哪些功能? 答:数据链路层中的链路控制包括链路管理;帧同步;流量控制;差错控制;将数据和控制信息分开;透明传输;寻址等功能。 数据链路层做成可靠的链路层的优点和缺点取决于所应用的环境:对于干扰严重的信道,可靠的链路层可以将重传范围约束在局部链路,防止全网络的传输效率受损;对于优质信道,采用可靠的链路层会增大资源开销,影响传输效率。 3数据链路层的三个基本问题(帧定界,透明传输和差错检测)为什么都必须加以解决? 答:帧定界是分组交换的必然要求;透明传输是避免二进制比特流中出现与帧定界符号相同的模式,使节点错误识别帧;差错检测是为了避免接收到错误信息和防止信道中出现的无效数据帧浪费后续路由上的传输和处理资源。 4 如果在数据链路层不进行帧定界,会发生什么问题? 答:在数据传输过程中的传输网中的结点及接收方将无法区分分组(帧),也将不能确定分组的控制域和数据域,也不能实现差错控制。 5 PPP协议的主要特点是什么?为什么PPP不使用帧的编号?PPP适用于什么情况?为什么PPP协议不能使数据链路层实现可靠传输? 答:1,PPP是面向字节的点对点通信协议,适用于线路质量不太差的情况,其主要特点:(1)协议简单,不使用序号和确认机制,也不需要流量控制;具有检错能力,但无纠错功能;只支持点到点的链路通信和和全双工链路(2)PPP规定特殊的字符为帧界定符,且在同步传输链路时,采用比特填充法,当用在异步传输时,使用字符填充法来保证数据传输的透明性; (3)PPP可同时支持链路所连接的LAN或ROUTER上运行的多种网络层协议;(4)可在多种点到点的链路上运行(串行,并行,高速,低速,电的,光的,交换的或非交换的),并可自动检测链路的工作状态,同时对不同的链路设置最大传输单元MTU(帧的有效载荷)的标准默认值;(5)提供了网络地址协议和数据压缩功能. 2,在TCP/IP协议簇中,可靠的传输由TCP协议负责,而PPP只进行检错,它是一个不可靠的传输协议,因此不需要帧的编号。 3,PPP适用于质量不太差的点对点全双工通信链路,且上层协议要保证数据传输的可靠性,如用户通过ISP连接Internet. 4,(1)PPP只提供了检错功能,当发现帧出现错误时,只是将其丢弃;(2)PPP帧没有使用序号,接收端不能通过序号确认帧的顺序和是否完全到达。 6 要发送的数据为1101011011。采用CRC的生成多项式是P(x)=x4+x+1 。试求应添加在数 据后面的余数。 数据在传输过程中最后一个1变成了0,问接收端能否发现? 若数据在传输过程中最后两个1都变成了0,问接收端能否发现? 答:添加的检验序列(冗余码)为1110 (11010110110000除以数P=10011)

第五章微机原理课后习题参考答案

习题五 一. 思考题 ⒈半导体存储器主要分为哪几类?简述它们的用途和区别。 答:按照存取方式分,半导体存储器主要分为随机存取存储器RAM(包括静态RAM和动态RAM)和只读存储器ROM(包括掩膜只读存储器,可编程只读存储器,可擦除只读存储器和电可擦除只读存储器)。 RAM在程序执行过程中,能够通过指令随机地对其中每个存储单元进行读\写操作。一般来说,RAM中存储的信息在断电后会丢失,是一种易失性存储器;但目前也有一些RAM 芯片,由于内部带有电池,断电后信息不会丢失,具有非易失性。RAM的用途主要是用来存放原始数据,中间结果或程序,与CPU或外部设备交换信息。 而ROM在微机系统运行过程中,只能对其进行读操作,不能随机地进行写操作。断电后ROM中的信息不会消失,具有非易失性。ROM通常用来存放相对固定不变的程序、汉字字型库、字符及图形符号等。 根据制造工艺的不同,随机读写存储器RAM主要有双极型和MOS型两类。双极型存储器具有存取速度快、集成度较低、功耗较大、成本较高等特点,适用于对速度要求较高的高速缓冲存储器;MOS型存储器具有集成度高、功耗低、价格便宜等特点,适用于内存储器。 ⒉存储芯片结构由哪几部分组成?简述各部分的主要功能。 答:存储芯片通常由存储体、地址寄存器、地址译码器、数据寄存器、读\写驱动电路及控制电路等部分组成。 存储体是存储器芯片的核心,它由多个基本存储单元组成,每个基本存储单元可存储一位二进制信息,具有0和1两种状态。每个存储单元有一个唯一的地址,供CPU访问。 地址寄存器用来存放CPU访问的存储单元地址,该地址经地址译码器译码后选中芯片内某个指定的存储单元。通常在微机中,访问地址由地址锁存器提供,存储单元地址由地址锁存器输出后,经地址总线送到存储器芯片内直接进行译码。 地址译码器的作用就是用来接收CPU送来的地址信号并对它进行存储芯片内部的“译码”,选择与此地址相对应的存储单元,以便对该单元进行读\写操作。 读\写控制电路产生并提供片选和读\写控制逻辑信号,用来完成对被选中单元中各数据位的读\写操作。

第5章习题习题参考答案

第五章习题参考答案 5.1 题5.1的图所示的是三相四线制电路,电源线电压l U =380V 。三个电阻性负载接成星形,其电阻为1R =11Ω,2R =3R =22Ω。 (1)试求负载相电压、相电流及中性线电流,并作出它们的相量图;(2)如无中性线,求负载相电压及中性点电压;(3)如无中性线,当L1相短路时求各相电压和电流,并作出它们的相量图;(4)如无中性线,当L3相断路时求另外两相的电压和电流;(5)在(3),(4)中如有中性线,则又如何? 1 L 2 L 3 L N 题5.1的图 解: ○1各相负载两端电压都等于电源相电压,其值为:V V U U l P 2203 380 3===。各负载相电流分别为: ()()A I I I I I I A R U I A R U I A R U I N P P P 1030cos 30cos 30sin 30sin 10,10,202 2321323 32211=?-?++?-?-= ====== 相量图如图(b )所示。 ○ 2因为三相电源对称,而三相负载不对称时,由于无中性线,将使电源和负载中点之间的电位差不为零,而产生中性点位移。 设 V U U ?∠=01 1& ()()() V V U U U V V U U U V V U U U V V R R R R U R U R U U N N N N N N N N ?∠=?∠-?∠=-=?-∠=?∠-?-∠=-=?∠=?∠-?∠=-=?∠=++? ∠+?-∠+?∠=++++=1312520551202201312520551202200165055022005522 1 2211112212022022120220110220111''''3'32'21 '1 3213322 11&&&&&&&&&&&&&

数据库课后习题参考答案

数据库课后习题参考答案

第1章数据库基础 习题答案 1. 简述Oracle 12c的应用结构。 答:参阅教材 2. 简述Oracle与SQL Server的区别。 答:参阅教材 3. 简述Oracle 12c的新特性。 答:参阅教材 第2章Oracle Database 12c的安装和配置习题答案 一、填空题 1. Windows Unix 2. 1GB 3. 物理内存的两倍 4. orcl 5. 5500 6. SYSDBA Normal 第3章Oracle Database的体系结构 2

习题答案 一、选择题 A B B D B C D D 二、简答题 1.什么是Oracle的实例?它是一种什么机制? 答:Oracle数据库系统指具有管理Oracle数据库功能的计算机系统。每一个运行的Oracle 数据库都对应一个Oracle实例(Instance),一个Oracle实例为存取和控制数据库的软件机制。当数据库服务器上的一个数据库启动时,Oracle将分配一块内存区间,叫做系统全局区(SGA),并启动一个或多个Oracle进程。因此实例是一组内存结构和后台进程的集合。总之,Oracle实例是指数据库拥有自己的系统全局区和相关数据库文件的Oracle服务器进程集,是存取和控制数据库的软件机制。 2.段是什么概念?如何组成的? 答:表空间的下一级逻辑存储单位称作段,一个段只能存储同一模式对象。根据存储模式 -3-

4

责将需要处理的数据从数据库文件读入到SGA中的数据库缓冲区,并将SQL语句执行的结果返回给客户端应用程序。 后台进程:系统为了使性能最好和协调多个用户,在多进程系统中使用一些附加进程,称为后台进程。 用户进程:用户进程指运行应用程序或Oracle工具所建立的进程,它们向Oracle服务器发送所要执行的SQL语句 第4章数据库操作 习题答案 一、选择题 B C B C 二、选择题 1. CREATE DATABASE 2. SHUTDOWN IMMEDIATE 3. DROP DATABASE 4. 启动实例装载数据库打开数据库 5. STARTUP FORCE 5

第四章课后习题参考答案

第4章网络基础知识与Internet应用一、单项选择题 二、填空题 1.局域网、城域网、广域网或LAN、MAN、WAN 2. C、A、C 3. 127.0.0.1(本机)、255.255.255.255(限制广播)、0.0.0.0(广播) 4. Electronic Commerce, EC 5.B2B、B2C 6. Instrumented:物联化 Interconnected:互联化 Intelligent:智能化 7.感知层、网络层、应用层 8.接入(网络层)、应用(业务层) 9.硬件系统、软件系统 10.不可否任性

三、简答题 1. 计算机网络发展包括四个阶段:第一,面向终端的计算机网络;第二,计算机-计算机网络;第三,开放标准网络阶段;第四,因特网与高速计算机网络阶段。各阶段的特点:第一,面向终端的计算机网络:以单个计算机为中心的远程联机系统,构成面向终端的计算机网络。第二,计算机-计算机网络:由若干个计算机互联的系统,组成了“计算机-计算机”的通信时代,呈现出多处理中心的特点。第三,开放标准网络阶段:由于第二阶段出现的计算机网络都各自独立,不相互兼容。为了使不同体系结构的计算机网络都能互联,国际标准化组织ISO提出了一个能使各种计算机在世界范围内互联成网的标准框架―开放系统互连基本参考模型OSI。第四,因特网与高速计算机网络阶段:采用高速网络技术,综合业务数字网的实现,多媒体和智能型网络的兴起。 2.TCP/IP网络使用32位长度的地址以标识一台计算机和同它相连的网络,它的格式为:IP 地址=网络地址+ 主机地址。标准IP地址是通过它的格式分类的,它有四种格式:A类、B类、C类、D类。 3. 电子商务所涵盖的业务范围包括:信息传递与交流;售前及售后服务;网上交易;网上支付或电子支付;运输;组建虚拟企业。 4. 包括banner(网幅广告)、button广告、文字链接广告、弹出式广告(pop up window)及其它形式(如移动logo、网上分类广告等)。其中banner广告是主流形式,也被认为是最有效的。 5. 国际电信联盟( ITU)对物联网做了如下定义:通过二维码识读设备、射频识别(RFID) 装置、红外感应器、全球定位系统和激光扫描器等信息传感设备,按约定的协议,把任何物品与互联网相连接,进行信息交换和通信,以实现智能化识别、定位、跟踪、监控和管理的一种网络。

第四章习题答案

教材习题答案 分析图电路的逻辑功能 解:(1)推导输出表达式 Y2=X2;Y1=X 1X2;Y0=(MY1+X 1M)X0 X2X1X0Y2Y1Y0 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101 1110 1111000 001 011 010 110 111 101 100 000 001 011 010 111 110 100 101 (3)逻辑功能:当M=0时,实现3位自然二进制码转换成3位循环码。 当M=1时,实现3位循环码转换成3位自然二进制码。分析图电路的逻辑功能。 图 解:(1)从输入端开始,逐级推导出函数表达式。 F1 = A⊕B⊕C

F2 = A(B⊕C) + BC= A BC + AB C +ABC + ABC (2)列真值表 表4.3.2 A B C F1F2 000 001 010 011 100 101 110 11100 11 11 01 10 00 00 11 (3)确定逻辑功能。由真值表可知,该电路实现了一位全减器的功能。 A、B、C、F1、F2分别表示被减数、减数、来自低位的借位、本位差、本位向高位的借位。分析图电路的逻辑功能 解:(1)F1=A B C;F2=(A B)C+AB (2)真值表: A B C F2F1 000 001 010 011 100 101 110 11100 01 01 10 01 10 10 11

(3)逻辑功能:实现1位全加器。 设ABCD是一个8421BCD码,试用最少与非门设计一个能判断该8421BCD码是否大于等于5的电路,该数大于等于5,F= 1;否则为0。 解:(1)列真值表 表4.3.4 (2)写最简表达式

第五章练习题参考答案完整版

第五章练习题参考答案 1、下面表是一张关于短期生产函数),(K L f Q 的产量表: (1) 在表1中填空 (2) 根据(1)。在一张坐标图上作出TPL 曲线,在另一张坐标图上作出APL 曲线和MPL 曲线。 (3) 根据(1),并假定劳动的价格ω=200,完成下面的相应的短期成本表2。 (4) 根据表2,在一张坐标图上作出TVC 曲线,在另一张坐标图上作出AVC 曲线和MC 曲线。 (5) 根据(2)和(4),说明短期生产曲线和短期成本曲线之间的关系。 解:(1)短期生产的产量表(表1) (2) (3)短期生产的成本表(表2)

(4)边际产量和边际成本的关系,边际MC和边际产量MPL两者的变动方向是相反的。 总产量和总成本之间也存在着对应关系:当总产量TPL下凸时,总成本TC曲线和总可变成本TVC是下凹的;当总产量曲线存在一个拐点时, 总成本TC曲线和总可变成本TVC也各存在一个拐点。平均可变成本和平均产量两者的变动方向是相反的。MC曲线和AVC曲线的交点与MPL曲线和APL曲线的交点是对应的。 2、下图是一张某厂商的LAC曲线和LMC曲线图。请分别在Q1和Q2的产量上画出代表最优生产规模的SAC曲线和SMC曲线。 解:在产量Q1和Q2上,代表最优生产规模的SAC曲线和SMC曲线是SAC1和SAC2以及SMC1和SMC2。 SAC1和SAC2分别相切于LAC的A和B,SMC1和SMC2则分别相交于LMC的A1和

B 1。 3、假定某企业的短期成本函数是TC(Q)=Q 3 -5Q 2 +15Q+66: (1) 指出该短期成本函数中的可变成本部分和不变成本部分; (2) 写出下列相应的函数:TVC(Q) AC(Q) AVC(Q) AFC(Q)和MC(Q)。 解(1)可变成本部分: Q 3 -5Q 2 +15Q 不可变成本部分:66 (2)TVC(Q)= Q 3 -5Q 2 +15Q AC(Q)=Q 2 -5Q+15+66/Q AVC(Q)= Q 2-5Q+15 AFC(Q)=66/Q MC(Q)= 3Q 2-10Q+15 4、已知某企业的短期总成本函数是STC(Q)=0.04 Q 3 -0.8Q 2 +10Q+5,求最小的平均可变成本值。 解: TVC(Q)=0.04Q 3 -0.8Q 2 +10Q AVC(Q)= 0.04Q 2 -0.8Q+10 令08.008.0=-='Q C AV 得Q=10 又因为008.0>=''C AV

《数据库系统概论》课后习题及参考答案

课后作业习题 《数据库系统概论》课程部分习题及参考答案 第一章绪论(教材 41页) 1.试述数据、数据库、数据库系统、数据库管理系统的概念。 数据: 描述事物的符号记录称为数据。数据的种类有文字、图形、图象、声音、正文等等。数据与其语义是不可分的。 数据库: 数据库是长期储存在计算机内、有组织的、可共享的数据集合。数据库中的数据按一定的数据模型组织、描述和储存,具有较小的冗余度、较高的数据独立性和易扩展性,并可为各种用户共享。 数据库系统: 数据库系统( DBS)是指在计算机系统中引入数据库后的系统构成。数据库系统由数据库、数据库管理系统(及其开发工具)、应用系统、数据库管理员构成。 数据库管理系统: 数据库管理系统 (DBMS)是位于用户与操作系统之间的一层数据管理软件。用于科学地组织和存储数据、高效地获取和维护数据。DBMS主要功能包括数据定义功能、数据操纵功能、数据库的运行管理功能、数据库的建立和维护功能。 2.使用数据库系统有什么好处? 使用数据库系统的好处是由数据库管理系统的特点或优点决定的。 使用数据库系统的好处很多,例如可以大大提高应用开发的效率,方便用户的使用,减轻数据库系统管理人员维护的负担等。 为什么有这些好处,可以结合第 5题来回答。

使用数据库系统可以大大提高应用开发的效率。因为在数据库系统中应用程序不必考虑数据的定义、存储和数据存取的具体路径,这些工作都由 DBMS来完成。 此外,当应用逻辑改变,数据的逻辑结构需要改变时,由于数据库系统提供了数据与程序之间的独立性。数据逻辑结构的改变是 DBA的责任,开发人员不必修改应用程序,或者只需要修改很少的应用程序。从而既简化了应用程序的编制,又大大减少了应用程序的维护和修改。 使用数据库系统可以减轻数据库系统管理人员维护系统的负担。因为 DBMS在数据库建立、运用和维护时对数据库进行统一的管理和控制,包括数据的完整性、安全性,多用户并发控制,故障恢复等等都由DBMS执行。 总之,使用数据库系统的优点是很多的,既便于数据的集中管理,控制数据冗余,可以提高数据的利用率和一致性,又有利于应用程序的开发和维护。 3.试述文件系统与数据库系统的区别和联系。 文件系统与数据库系统的区别: 文件系统面向某一应用程序,共享性差、冗余度大,独立性差,纪录内有结构、整体无结构,应用程序自己控制。 数据库系统面向现实世界,共享性高、冗余度小,具有高度的物理独立性和一定的逻辑独立性,整体结构化,用数据模型描述,由数据库管理系统提供数据安全性、完整性、并发控制和恢复能力。 文件系统与数据库系统的联系是: 文件系统与数据库系统都是计算机系统中管理数据的软件。 5.试述数据库系统的特点。 数据库系统的主要特点有: 一、数据结构化 数据库系统实现整体数据的结构化,这是数据库的主要特征之一,也是数据库系统与文件系统的本质区别。 二、数据的共享性高,冗余度低,易扩充

继电保护第四章课后习题参考答案资料讲解

纵联保护依据的最基本原理是什么? 答:纵联保护包括纵联比较式保护和纵联差动保护两大类,它是利用线路两端电气量在故障与非故障时、区内故障与区外故障时的特征差异构成保护的。纵联保护的基本原理是通过通信设施将两侧的保护装置联系起来,使每一侧的保护装置不仅反应其安装点的电气量,而且哈反应线路对侧另一保护安装处的电气量。通过对线路两侧电气量的比较和判断,可以快速、可靠地区分本线路内部任意点的短路与外部短路,达到有选择、快速切除全线路短路的目的。 纵联比较式保护通过比较线路两端故障功率方向或故障距离来区分区内故障与区外故障,当线路两侧的正方向元件或距离元件都动作时,判断为区内故障,保护立即动作跳闸;当任意一侧的正方向元件或距离元件不动作时,就判断为区外故障,两侧的保护都不跳闸。 纵联差动保护通过直接比较线路两端的电流或电流相位来判断是区内故障还是区外故障,在线路两侧均选定电流参考方向由母线指向被保护线路的情况下,区外故障时线路两侧电流大小相等,相位相反,其相量和或瞬时值之和都等于零;而在区内故障时,两侧电流相位基本一致,其相量和或瞬时值之和都等于故障点的故障电流,量值很大。所以通过检测两侧的电流的相量和或瞬时值之和,就可以区分区内故障与区外故障,区内故障时无需任何延时,立即跳闸;区外故障,可靠闭锁两侧保护,使之均不动作跳闸。 4.7 图4—30所示系统,线路全部配置闭锁式方向比较纵联保护,分析在K点短 路时各端保护方向元件的动作情况,各线路保护的工作过程及结果。 ?? 答:当短路发生在B—C线路的K处时,保护2、5的功率方向为负,闭锁信号 持续存在,线路A—B上保护1、2被保护2的闭锁信号闭锁,线路A—B两侧 均不跳闸;保护5的闭锁信号将C—D线路上保护5、6闭锁,非故障线路保护 不跳闸。故障线路B—C上保护3、4功率方向全为正,均停发闭锁信号,它们 判定有正方向故障且没有收到闭锁信号,所以会立即动作跳闸,线路B—C被切 除。 答:根据闭锁式方向纵联保护,功率方向为负的一侧发闭锁信号,跳闸条件是本 端保护元件动作,同时无闭锁信号。1保护本端元件动作,但有闭锁信号,故不 动作;2保护本端元件不动作,收到本端闭锁信号,故不动作;3保护本端元件 动作,无闭锁信号,故动作;4保护本端元件动作,无闭锁信号,故动作;5保 护本端元件不动作,收到本端闭锁信号,故不动作;6保护本端元件动作,但有 闭锁信号,故不动作。 4.10 图4—30所示系统,线路全部配置闭锁式方向比较纵联保护,在K点短路 时,若A—B和B—C线路通道同时故障,保护将会出现何种情况?靠什么保护 动作切除故障?

相关文档
最新文档