EDA课程设计电子密码锁
EDA电子密码锁课设
EDA课程设计报告书题目:电子密码锁成绩:设计题目:电子密码锁设计要求:1.用10个按键代表0~9,输入后应转换为8421BCD码,如按“6”后转换为“0110”;2.密码位数为6位,具有密码设置和修改功能;3.开锁和报警均有LED灯指示,且报警有声音提示,报警音频率为750Hz,每鸣叫1秒停1秒,共鸣叫5响;4.用6个数码管显示输入的密码。
设计步骤:1.电路模块化。
将要实现的功能电路分成若干小的功能模块,各自实现自己的功能。
2.设计具体化。
具体设计各个模块,然后将模块组合起来,完成课程设计的要求。
3.设计实现。
将电路下载到实验箱上,实现设计的电路。
设计过程及内容总体设计文字描述:总体设计共分为4个模块,分别为密码输入模块,密码校验模块,报警模块,输出显示模块。
主要模块描述:i.密码输入模块:密码输入模块电路图如图所示:初始密码保存仿真图如图所示:密码输入检验时仿真图如图所示:输入模块主要采用优先编码器,优先编码器允许输入信号多路同时有效,对多路信号的优先级别预先进行排队,同一时刻只对优先级别最高的进行编码,即优先级别低的输入信号只有在优先级别高的信号都为无效信号时,才能编码。
因此采用74148优先编码器,把从键盘的十进制输入转化成电路系统内部的二进制输入。
由D触发器构成的寄存器用来存储密码。
仿真时,当输入端bu3给低电频时,电路进行保存。
当输入端bu2给低电频时,电路进行检验。
ii.密码校验模块:校验模块电路图如图所示:校验模块比较相等时仿真图:校验模块比较不相等时仿真图:校验模块上部分连向输入检验模块,下部分连向输入的保存模块,用74194双向移位寄存器进行输入,输入后按下确定键,检验部分与保存部分开始比较,当保存部分与检验部分结果相等时,输出结果为1,此时报警模块中绿灯亮,当保存部分与检验部分结果不相等时,输出结果为0,此时红灯亮,蜂鸣器间歇报警。
iii.报警模块:报警模块电路图如图所示:分频模块与蜂鸣模块如下图所示:实验箱可提供732hz的频率,因此通过3个计数器74161进行分频得到1hz频率,作为蜂鸣模块的时钟。
EDA课设 电子密码锁
目录1前言 (1)2设计原理 (2)2.1设计要求 (2)2.2设计方案 (2)3 仿真分析 (4)3.1 Quartus II仿真软件 (4)3.2 整体波形仿真 (5)3.3 模块波形仿真 (5)3.3.1 寄存器模块波形仿真 (5)3.3.2 控制器模块波形仿真 (6)3.3.3比较器模块波形仿真 (6)3.4实验箱下载验证 (7)4 设计总结 (8)5 参考文献 (9)附录 (10)1 前言由于安全防盗已成为全社会关注的问题。
基于EDA技术设计的电子密码锁,以其价格便宜、安全可靠、使用方便,受到了人们的普遍关注。
而以现场可编程逻辑器件(FPGA)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以Quartus Ⅱ开发软件和GW48EAD开发系统为设计工具设计的电子密码锁,由于其能够实现数码输入、数码清除、密码解除、密码更改、密码上锁和密码解除等功能,因此,能够满足社会对安全防盗的要求。
随着现代电子技术的迅速发展,ASIC技术的日趋进步和完善,数字系统的硬件设计正朝着速度快、体积小、容量大、重量轻的方向发展。
一个复杂的数字系统大概需要百万个以上的逻辑门或传输晶体管器件,如信号处理器,ALU等,如果人工的话需要非常长的时间,这样效率就明显会下降。
目前大部分密码锁采用单片机进行设计,电路比较复杂,性能不够灵活。
而硬件描述语言VHDL的出现,则为设计者提供了一种用形式化方法来描述数字系统硬件电路的方法。
VHDL具有强大的系统描述能力、规范的程序设计结构、灵活的语句表达风格和多层次的仿真测试手段。
根据系统的行为和功能要求,可以自上而下的完成相应的描述、综合、优化、仿真与验证,直至生成器件系统。
VHDL使得设计者可以进行更大、更复杂的数字系统的设计。
本文采用先进的EDA技术,利用QuartusⅡ工作平台和VHDL语言,设计了一种新型的密码锁。
该密码锁具有密码预置和误码报警等功能,保密性好,远远大于以往的密码锁,使随机破译成功率几乎为零,编码可变,避免因人员的更替而使锁的保密级别下降且有利于用户编制易于记忆的密码,因而该数字密码锁具有体积小、功耗低、价格便宜、安全可靠,维护和升级都十分方便等优势。
eda课程设计--电子密码锁
绍兴文理学院数理信息学院课程设计报告书题目电子密码锁设计姓名XXX学号XXX专业班级电信指导教师时间2013年1月14日课程设计任务书 班 级电信XXX 姓 名 XXX题目电子密码锁设计 技术参数、设计要求、检测数据等在EDA 实验箱上利用FPGA 、4*4键盘及数码管实现电子密码锁,功能要求如下: ● 模拟实现电子密码锁的开锁、解锁、改密码等基本功能 ● 实现万能密码功能 ● 当用户密码连续3次输入错误时,报警并锁定键盘 ● 当用户密码输入时间超过一定值(如30秒)时,提示超时 ● 在密码设置状态下输入密码时,所输入数字在数码管显示;其余状态下,所输密码在对应数码管上以特殊字符屏蔽显示 设计进度安排或工作计划 2013.1.4 ~2013.1.4: 任务分配,熟悉课题,查询相关资料,出方案初稿。
2013.1.5~2013.1.5: 方案交流并定稿,划分设计模块,课程设计开展。
2013.1.6~2013.1.7: 各模块设计实现及调试、验证。
(其中8、9 号暂停)2013.1.10~2013.1.13: 设计整体实现、调试及验证,并开始撰写报告。
2013.1.14~2013.1.15: 设计完成,课程设计报告撰写并定稿,上交。
其它认真阅读EDA 技术课程设计报告撰写规范;课题小组经协商好要指定组长并明确分工,形成良好团队工作氛围;基于课题基本要求,各小组课再细化、增加要求;课题小组每成员均需各自撰写一份课程设计报告。
电子密码锁设计摘要本文介绍了一种采用单片FPGA芯片进行电子密码锁的设计方法,主要阐述如何使用新兴的EDA器件取代传统的电子设计方法,利用FPGA的可编程性,简洁而又多变的设计方法,缩短了研发周期。
电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。
它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。
密码锁是以芯片为核心,通过编程来实现的,其性能和安全性已大大超过了机械锁。
eda电子密码锁课程设计
eda电子密码锁课程设计一、课程目标知识目标:1. 理解EDA(电子设计自动化)的基本概念,掌握其在电子密码锁设计中的应用。
2. 学习并掌握电子密码锁的基本原理,包括密码设置、存储、识别和验证过程。
3. 掌握电子密码锁设计中涉及的电子元件、电路图绘制及电路功能。
技能目标:1. 能够运用EDA软件完成电子密码锁的电路设计,具备基本的电路仿真能力。
2. 能够运用编程语言(如C语言)编写简单的密码验证程序,实现电子密码锁的功能。
3. 学会使用常见的电子测量工具,对电子密码锁进行调试和故障排查。
情感态度价值观目标:1. 培养学生对EDA技术及其在电子设计中的应用产生兴趣,激发学生的创新意识和探索精神。
2. 培养学生团队协作意识,提高沟通与协作能力,学会共同解决问题。
3. 增强学生的安全意识,了解电子密码锁在实际应用中的重要性,培养学生的责任心。
课程性质:本课程为实践性较强的课程,注重理论知识与实际操作相结合,旨在提高学生的实际动手能力和创新能力。
学生特点:学生具备一定的电子基础知识,对EDA技术有一定了解,但对电子密码锁的设计和制作相对陌生。
教学要求:结合课程性质、学生特点,将课程目标分解为具体的学习成果,通过理论教学、实践操作、团队协作等多种教学方式,确保学生能够达到课程目标。
同时,注重过程评估和成果评估,以提高教学效果。
二、教学内容根据课程目标,教学内容分为以下三个部分:1. 理论知识学习- 电子设计自动化(EDA)基本概念与原理- 电子密码锁的工作原理及其电路组成- 密码学基础,包括密码设置、存储和验证方法教学大纲:参照教材相关章节,安排2个课时进行理论知识学习。
2. 实践操作与技能培养- EDA软件使用,包括电路图绘制、仿真与调试- 编程语言(C语言)基础,编写密码验证程序- 电子元件识别与使用,完成电子密码锁的搭建教学大纲:实践操作部分安排4个课时,分为两次课程完成。
3. 团队协作与创新能力培养- 分组讨论,共同设计电子密码锁方案- 成果展示,组间交流与评价- 故障排查与优化,提高电子密码锁的安全性与稳定性教学大纲:团队协作部分安排2个课时,在实践操作过程中穿插进行。
数字锁eda课程设计
数字锁eda课程设计一、课程目标知识目标:1. 理解数字锁的基本概念,掌握EDA工具的使用方法;2. 学会运用数字电路知识设计简单的数字锁,并理解其工作原理;3. 了解数字锁在实际生活中的应用,认识到数字电路技术在日常生活中的重要性。
技能目标:1. 能够运用所学知识,使用EDA工具进行数字电路设计;2. 培养学生的动手实践能力,提高他们分析问题、解决问题的能力;3. 学会团队合作,提高沟通与协作能力。
情感态度价值观目标:1. 培养学生对数字电路技术的兴趣,激发他们探索未知、勇于创新的热情;2. 增强学生的信息安全意识,让他们认识到数字锁在保护个人隐私和财产方面的重要性;3. 培养学生严谨的科学态度,让他们在学习过程中养成良好的学习习惯。
分析课程性质、学生特点和教学要求:本课程为数字电路设计与实践课程,旨在让学生掌握数字电路设计的基本方法,提高他们的实践能力。
学生为初中生,具备一定的电子技术基础和计算机操作能力。
在教学过程中,需注重理论与实践相结合,充分调动学生的积极性,引导他们主动探究、解决问题。
将目标分解为具体的学习成果:1. 学生能够独立使用EDA工具完成数字锁的设计;2. 学生能够通过实验验证数字锁的设计原理;3. 学生能够撰写实验报告,总结学习收获,并进行展示交流。
二、教学内容1. 数字锁基本概念:介绍数字锁的定义、分类及工作原理,结合课本第二章第三节内容,让学生理解数字锁在实际应用中的作用。
2. EDA工具使用:讲解EDA工具的基本操作和使用方法,结合课本第三章内容,让学生掌握运用EDA工具进行数字电路设计的方法。
3. 数字锁设计原理:分析数字锁电路的组成、功能及设计方法,以课本第四章为例,让学生学会设计简单的数字锁。
4. 实践操作:安排学生进行数字锁的电路设计、仿真和实验操作,结合课本第五章内容,提高学生的动手实践能力。
5. 数字锁应用案例分析:通过分析现实生活中数字锁的应用案例,让学生了解数字锁在信息安全、智能家居等领域的应用,结合课本第六章内容,拓宽学生的知识视野。
EDA课程设计电子密码锁
1概述和设计要求1.1概述随着科技的发展,电子产品的应用越来越广泛,电子电路的设计也越来越重要。
随着人们生活水平的提高,如何实现家庭防盗这一问题也变得尤其的突出,传统的机械锁由于结构简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到广大用户的亲昵。
同时随着电子产品向智能化和微型化的不断发展,数字逻辑电路电子芯片已成为电子产品研制和开发首选的控制器。
用电子逻辑电路设计的密码锁经实际操作表明,该密码锁具有安全、实用、成本低等优点,符合一般用锁的需要,具有一定的现实意义。
在本次课程设计中,我的的题目是电子密码锁,由输入密码、设定密码、寄存电路、比较电路、显示电路、修改密码组成。
主要工作部分是将输入密码与正确密码进行比较,密码正确时红色发光二极管亮,表示开锁成功,密码错误则蜂鸣器响三秒,表示开锁失败。
同时经过显示译码器作用到数码显示器显示密码。
随着电子产品向智能化和微型化的不断发展,数字逻辑电路电子芯片已成为电子产品研制和开发首选的控制器。
1.2设计要求利用基于所学EDA以及Quartusll7.2软件相关知识设计一个具有较高安全性和较低成本的通用电子密码锁,其具体功能要求如下:(1)数码输入:每按下一个数字键,就输入一个数值,并在显示器上的最右方显示出该数值,同时将先前输入的数据依序左移一个数字位置。
(2)数码清除:按下此键可清除前面所有的输入值,清除成为0000'。
(3)密码更改:按下此键时会将目前的数字设定成新的密码。
(4)激活电锁:按下此键可将密码锁上锁。
(5)解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。
2功能模块整体结构设计作为通用电子密码锁,主要由三个部分组成:数字密码输入电路、密码锁控制电路和密码锁显示电路。
根据以上选定的输入设备和显示器件,并考虑到实现各项数字密码锁功能的具体要求,整个电子密码锁系统的总体组成框图如图2.1所示。
(1)密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、键盘译码电路等几个小的功能电路。
EDA电子密码锁课程设计
目录前言 (1)1 设计内容与目的 (1)1.1 设计内容 (1)1.2 设计目的 (1)2 方案设计 (2)2.1 设计总体框图 (2)2.2 电路原理图 (2)2.3 键盘接口电路 (2)2.4 电锁控制电路 (2)2.5 输出显示电路 (3)3 功能电路的设计 (3)3.1 键盘接口电路 (3)3.2 时序产生电路 (4)3.3 键盘扫描电路 (5)3.4 键盘消抖电路 (8)3.5 键盘译码电路 (9)3.6 按键存储电路 (11)3.7 电锁控制电路设计 (15)4 系统仿真 (16)5 程序清单 (16)6 参考文献 (25)电子密码锁前言电子密码锁的使用体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。
目前设计密码锁的方法很多,例如用传统的PCB 板设计、用PLC设计或者用单片机设计等等。
而用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁,优于其他设计方法。
VHDL是一种符合IEEE标准的硬件描述语言,其最大的特点是借鉴高级程序设计语言的功能特性,对电路的行为与结构进行高度抽象化、规范化的形式描述,并对设计的不同层次、不同领域的模拟验证与综合优化等处理,使设计过程廷到高度自动化。
1 设计内容与目的:1.1 设计内容:设计一个简单的数字电子密码锁,密码为 4 位。
要求具备如下功能:(1)如果输入数字键,第一个数字会从显示器的最右端开始显示,此后每按下一个数字键,显示器上的数字必须往左移动一格,以便将新的数字显示出来。
(2)本密码锁为四位密码锁,当输入的数字超过4个时,不会显示第四个以后的数字。
(3)按下清零键,清除所有输入的数字,即做归零动作。
(4)按下密码确认键,检查输入的密码是否正确,密码正确即开锁。
(5)按下密码变更键,将当前输入的数字设置成新密码。
(6)设计一个万能密码,只要输入万能密码即开锁。
1.2 设计目的:随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲昵,电子密码锁的使用也体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。
eda课程设计电子密码锁
eda课程设计 电子密码锁一、课程目标知识目标:1. 学生能够理解电子密码锁的基本原理,掌握其电路组成及功能。
2. 学生能够了解数字电路的基本知识,包括逻辑门、触发器等,并运用这些知识分析电子密码锁的工作原理。
3. 学生能够掌握二进制与十进制的转换方法,并应用于电子密码锁的设计。
技能目标:1. 学生能够运用所学知识设计并搭建简单的电子密码锁电路。
2. 学生能够通过实际操作,调试并优化电子密码锁的性能。
3. 学生能够运用EDA软件(如Multisim、Protel等)进行电子密码锁电路的设计、仿真和测试。
情感态度价值观目标:1. 培养学生动手实践、解决问题的能力,增强自信心和成就感。
2. 培养学生团队协作精神,学会沟通与分享,提高合作能力。
3. 增强学生对电子科技的兴趣和热爱,激发创新意识和潜能。
课程性质分析:本课程为电子技术实践课程,注重理论联系实际,强调学生的动手能力和创新能力。
学生特点分析:学生处于高中阶段,具有一定的电子基础和逻辑思维能力,对实践操作充满兴趣。
教学要求:结合课程性质和学生特点,将课程目标分解为具体的学习成果,以项目为导向,引导学生通过实践探索,完成电子密码锁的设计与制作。
在教学过程中,注重启发式教学,鼓励学生提问、思考、解决问题,培养其创新能力和实际操作能力。
后续教学设计和评估将以此为基础,确保学生达到预定的学习成果。
二、教学内容1. 理论知识:- 数字电路基础知识:逻辑门、触发器、计数器等;- 电子密码锁原理:锁体结构、电路设计、密码设置与识别;- 二进制与十进制转换方法及其应用。
2. 实践操作:- 使用EDA软件(如Multisim、Protel)进行电子密码锁电路设计;- 搭建电子密码锁电路,进行实际操作和调试;- 分析并优化电子密码锁性能,提高其安全性。
3. 教学大纲:- 第一阶段:数字电路基础知识学习,占总课时1/3;- 第二阶段:电子密码锁原理学习,占总课时1/3;- 第三阶段:实践操作,包括EDA软件使用、电路搭建、调试与优化,占总课时1/3。
eda课程设计电子密码锁
eda课程设计电子密码锁一、课程目标知识目标:1. 学生能理解电子密码锁的基本原理,掌握其电路组成及功能。
2. 学生能描述数字电路基础知识,包括逻辑门、触发器等,并了解它们在电子密码锁中的应用。
3. 学生能解释电子密码锁的安全性分析,了解如何提高电子密码锁的安全性。
技能目标:1. 学生能够设计简单的电子密码锁电路,运用所学知识解决实际问题。
2. 学生能够运用EDA软件进行电路设计、仿真和调试,提高实践操作能力。
3. 学生能够通过小组合作,进行电路搭建和测试,培养团队协作能力和沟通能力。
情感态度价值观目标:1. 学生对电子技术产生兴趣,提高对科学研究的热情。
2. 学生在学习过程中,培养勇于尝试、不断探索的精神,增强自信心。
3. 学生能够认识到电子技术在生活中的应用,激发创新意识,关注社会发展和科技进步。
课程性质:本课程为实践性较强的电子技术课程,结合理论知识和实际操作,培养学生的动手能力和创新能力。
学生特点:学生处于中学阶段,具有一定的电子技术基础和逻辑思维能力,对新鲜事物充满好奇心。
教学要求:教师需结合学生特点,采用启发式教学,引导学生自主探究,注重理论与实践相结合,提高学生的综合运用能力。
通过课程目标的实现,使学生能够将所学知识应用于实际生活中,提高解决实际问题的能力。
后续教学设计和评估将围绕上述具体学习成果展开。
二、教学内容依据课程目标,教学内容主要包括以下几部分:1. 数字电路基础知识:逻辑门、触发器、计数器等基本概念及工作原理,重点讲解与电子密码锁相关的数字电路。
教材章节:第二章“数字电路基础”2. 电子密码锁原理:介绍电子密码锁的电路组成、工作原理及安全性分析。
教材章节:第三章“组合逻辑电路”及第八章“数字电路应用”3. EDA软件使用:学习如何使用EDA软件进行电路设计、仿真和调试。
教材章节:第五章“EDA软件及其应用”4. 电子密码锁设计与制作:指导学生运用所学知识,设计并搭建简单的电子密码锁电路。
电子锁eda课程设计
电子锁eda课程设计一、课程目标知识目标:1. 学生能理解电子锁的基本工作原理,掌握EDA(电子设计自动化)软件的基本操作。
2. 学生能描述电子锁设计中的电路组成、元件功能及其相互关系。
3. 学生能运用数字电路知识,分析并设计简单的电子锁电路。
技能目标:1. 学生能够独立使用EDA软件完成电子锁电路的设计、仿真和布线。
2. 学生能够通过小组合作,解决电子锁设计过程中遇到的问题,进行有效沟通与协作。
3. 学生能够运用所学知识,对电子锁进行调试和优化,提高电路性能。
情感态度价值观目标:1. 培养学生对电子设计的兴趣和热情,激发创新意识。
2. 培养学生严谨的科学态度,养成良好的实验操作习惯。
3. 培养学生团队合作精神,提升沟通与交流能力,形成尊重他人、共同进步的价值观念。
分析课程性质、学生特点和教学要求,本课程目标旨在使学生通过实践操作,掌握电子锁设计的基本知识和技能,培养创新意识和团队协作能力,为后续学习打下坚实基础。
课程目标具体、可衡量,便于教师进行教学设计和评估。
二、教学内容本课程教学内容主要包括以下三个方面:1. 电子锁原理及其电路组成- 了解电子锁的基本工作原理,如密码锁、指纹锁等。
- 学习电子锁电路的组成,包括微控制器、键盘、显示、传感器等元件。
- 引导学生阅读教材中相关章节,理解各元件的功能及相互关系。
2. EDA软件操作与应用- 学习EDA软件的基本操作,如原理图绘制、仿真、布线等。
- 教学大纲中明确各操作步骤,安排实践操作课时,确保学生熟练掌握。
- 结合教材实例,指导学生运用EDA软件进行电子锁电路设计。
3. 电子锁设计与实践- 学习电子锁设计流程,包括需求分析、电路设计、仿真、调试等。
- 制定详细的教学进度,安排小组合作设计任务,明确各阶段目标和时间节点。
- 引导学生结合所学知识,完成电子锁设计与制作,并进行性能评估和优化。
教学内容科学系统,与教材紧密关联,注重理论与实践相结合,旨在帮助学生掌握电子锁设计和EDA软件应用技能,培养实际操作能力。
数字密码锁课程设计eda
数字密码锁课程设计eda一、课程目标知识目标:1. 学生理解数字密码锁的基本原理,掌握其组成部分及功能。
2. 学生掌握二进制数与十进制数的转换方法,并能应用于数字密码锁的设计与破解。
3. 学生了解数字密码锁的安全性评估标准,能分析常见密码锁的优缺点。
技能目标:1. 学生能运用所学知识设计简单的数字密码锁,具备实际操作能力。
2. 学生能通过小组合作,进行数字密码锁的破解,提高问题解决能力。
3. 学生能运用所学知识,对数字密码锁的安全性进行评估,提升分析能力。
情感态度价值观目标:1. 学生对数字密码锁产生兴趣,培养对电子技术的热爱。
2. 学生在小组合作中,学会沟通、协作,培养团队精神。
3. 学生认识到数字密码锁在生活中的应用,增强学以致用的意识。
本课程针对五年级学生,结合其年龄特点,注重培养学生的学习兴趣和动手能力。
课程性质为实践性较强的信息技术课程,要求学生在掌握基本原理的基础上,能将所学知识应用于实际操作。
通过本课程的学习,旨在提高学生的信息技术素养,培养创新精神和实践能力。
教学要求以学生为主体,注重启发式教学,引导学生主动探索、合作交流,实现课程目标的达成。
后续教学设计和评估将围绕以上具体学习成果展开。
二、教学内容1. 数字密码锁基本原理:介绍密码锁的起源、发展及分类,重点讲解数字密码锁的工作原理和组成部分。
2. 二进制与十进制转换:回顾二进制与十进制的概念,讲解转换方法,并通过实例进行操作演示。
3. 数字密码锁设计与制作:讲解数字密码锁的设计方法,引导学生动手制作简单的数字密码锁,并分析其安全性。
4. 数字密码锁破解:介绍常见的密码破解方法,组织学生进行小组合作,尝试破解数字密码锁,提高问题解决能力。
5. 数字密码锁安全性评估:讲解安全性评估标准,分析各类数字密码锁的优缺点,提升学生的分析能力。
教学内容安排和进度:第一课时:数字密码锁基本原理、二进制与十进制转换;第二课时:数字密码锁设计与制作;第三课时:数字密码锁破解;第四课时:数字密码锁安全性评估。
EDA课程设计—电子密码锁
EDA课程设计—电子密码锁————————————————————————————————作者:————————————————————————————————日期:2目录摘要 (1)1绪论 (2)1.1电子密码锁简介 (2)1.2电子密码锁的国内外研究现状和发展趋势 (2)1.3 EDA技术及VHDL语言 (3)2电子密码锁总体设计 (5)2.1总体设计方案 (5)2.2设计思路简述 (6)2.3整体组装设计原理图 (7)3单元模块程序设计 (8)3.1 电子密码锁输入模块 (8)3.1.1输入模块程序 (8)3.1.2输入模块单元 (11)3.1.3输入模块仿真 (11)3.2电子密码锁系统控制模块 (11)3.2.1 控制模块程序 (12)3.2.2 控制模块单元 (14)3.2.3 控制模块仿真图 (14)3.3电子密码锁系统显示模块 (15)3.3.1 显示模块程序 (15)3.3.2 显示模块单元 (16)3.3.3 显示模块仿真 (16)4小结 (17)参考文献 (18)电子密码锁设计摘要随着人们生活水平的提高,如何实现家庭防盗这一问题逐渐变的尤为突出,传统的机械锁由于其构造简单,故安全性能不容乐观,同时电子技术的高速发展使得具有防盗报警等功能的电子密码锁代替密码量少、安全性差的机械式密码锁已是必然趋势。
电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。
目前设计密码锁的方法有很多,而用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁,优于其他设计方法。
关键字:家庭防盗安全电子密码锁VHDL11绪论1.1电子密码锁简介电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。
它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。
现在应用较广的电子密码锁是以芯片为核心,通过编程来实现的。
电子密码锁设计课程设计
唐山学院EDA技术课程设计题目电子密码锁设计系(部) 信息工程系班级姓名学号指导教师2012 年12 月24 日至12 月28 日共 1 周EDA技术课程设计任务书课程设计成绩评定表1 引言1.1设计背景在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。
若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。
随着社会的发展和人们生活水平的提高,人们的安全意识也逐步加强。
传统的机械锁由于其构造的简单,失效的事件屡见不鲜,如何实现保密防盗这一问题变的尤其的突出,密码锁以其安全性高、成本低、功耗低、易操作等优点受到越来越多人的欢迎。
在数字技术网络技术飞速发展的今天,电子密码锁技术得到了迅猛的发展。
它早已超越了单纯的门道及钥匙管理,逐渐发展成为一套完整的出入管理系统。
它在工作环境安全、人事考勤管理等行政管理工作中发挥着巨大的作用。
在该系统的基础上增加相应的辅助设备可以进行电梯控制、车辆进出控制,物业消防监控、餐饮收费、私家车库管理等,真正实现区域内一卡智能管理。
基于EDA技术设计的电子密码锁。
以其价格便宜、使用方便、安全性高、成本低、功耗低、易操作等优点,受到了人们的普遍关注。
而以可编程逻辑器件(FPGA)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以QuartusⅡ5.1开发软件等为设计工具设计的电子密码锁,由于其能够实现密码输入、密码校验、密码设置和更改等功能,因此,能够满足社会对安全防盗的需求。
本设计的各个模块由相应的VHDL程序具体实现,并在QuartusⅡ5.1环境下进行了整体电路的模拟仿真,最终实现“密码锁控制器设计”的要求。
目前使用的电子密码锁大部分是基于单片机技术, 以单片机为主要器件, 其编码器与解码器的生成为软件方式。
在实际应用中, 由于程序容易跑飞, 系统的可靠性能较差。
EDA-密码锁
EDA课程设计——电子密码锁一、设计目的:在学习完大部分内容后,设计一个功能和市面上一模一样的“4位数电子密码锁”,可让学生学习查找相关资料,并对小型项目开发有一定的认识。
使学生能进行模块化设计,对每部分电路进行讨论、说明与仿真验证,最后在整合起来。
二、所需器材:本设计所需的硬件主要有:矩阵键盘、七段译码器,七段管脚数码管。
三、设计内容和要求:1、数码输入:每按下一个数字键,就输入一个数值,并在显示器上的最右方显示,将先前的输入数据依序左移一个数字位置。
2、数码清除:按下此键可清除之前所有的输入值,清除成为“0000”。
3、密码更改:按下此键时会将目前的数字设定成新的密码。
4、激活电锁:按下此键可将密码锁上锁。
5、解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。
四、设计程序和调试情况:实验程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity key_led isport (x :in std_logic_vector(3 downto 0);y :out std_logic_vector(3 downto 0);clk :in std_logic;clr :in std_logic;ch_code :in std_logic;dx :out std_logic_vector(6 downto 0);wx :out std_logic_vector( 3 downto 0);open_key :out std_logic);end key_led;architecture one of key_led issignal count :std_logic_vector(10 downto 0);signal clk_key :std_logic;signal clk_key_1 :std_logic;signal clk_led :std_logic;signal key_in_0 :std_logic_vector(7 downto 0);signal key_in :std_logic_vector(4 downto 0);signal y_0 :integer range 0 to 3;signal y_temp :std_logic_vector(3 downto 0);signal SG :std_logic_vector(6 downto 0);signal j :std_logic_vector(2 downto 0);signal i :std_logic_vector(2 downto 0);signal i_test :std_logic_vector(2 downto 0);subtype one is std_logic_vector(6 downto 0);type two is array (3 downto 0) of one;signal Q :two;signal code :two;signal key_count :std_logic_vector(2 downto 0);beginfrequency division:process(clk)beginif(clk'event and clk='1') thenif(count="11111111111") thencount<=(others=>'0');else count<=count+1;end if;end if;end process;clk_key<=count(1);clk_led<=count(0);--再产生clk_key_1分频。
电子密码锁EDA课程设计报告书
电子密码锁EDA课程设计报告书燕山大学EDA课程设计报告书题目:电子密码锁一、设计题目及要求题目:电子密码锁要求:1. 用10 个按键代表0~9,输入后应转换为8421BCD 码,如按“6”后转换为“0110”;2. 密码位数为6 位,具有密码设置和修改功能;3. 开锁和报警均有LED 灯指示,且报警有声音提示,报警音频率为750Hz,每鸣叫1 秒停1 秒,共鸣叫5 响;4. 用6 个数码管显示输入的密码。
二、设计过程及内容1.设计方案本次EDA设计项目主要由输入模块、数据分路模块、输入及密码寄存模块、比较模块、密码重置模块、显示模块及结果输出报警模块组成。
图一、电路总图Keyinput1为输入模块,其中包含防抖电路,利用74147编码器将单位输入转化成对应的十进制BCD码,并输出按键的脉冲以及计数器所记录按键次数的BCD码,计数器采用74160利用清零端转换成7进制以便记录六位密码。
Cinput1为数据分路模块,输入端为按键表示的4为BCD码和3位二进制地址码,利用74138(3线8线译码器)进行译码,利用四个译码器并联实现利用地址码对输入数据进行并行输出的功能。
Compare为寄存和密码比较模块,输入端为3位地址码、keycp 脉冲、chpw脉冲、六位十进制BCD码并行输入,其中包含的2个cinput模块用以利用输入的地址码将keycpt和chpw脉冲分别送入6个bj模块,6个bj 模块中包含两个寄存器,一个用来寄存系统内密码,一个用来寄存输入的密码,并利用7485进行逐位比较输出结果。
Flash模块为晶体管的扫描模块,输入为6组十进制BCD码,扫描输入cp,利用74151实现利用地址码对6组BCD码的选择输出,最后实现输出端为三位地址码和4位十进制BCD码。
地址码和输出对应循环变化实现数码管的动态显示。
Show1为显示模块,地址码和BCD码为输入,利用7448以直接驱动数码管。
结果输出报警模块包括蜂鸣器和LED指示灯。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
图5-1总电路原理图
巩固了哪些知识,有哪些提高。
(7)参考文献(必须按标准格式列出,可参考教材后面的参考文献格式)
(8)报告按规定排版打印,要求装订平整,否则要求返工;
(9)课设报告的装订顺序如下:封面---任务书---中文摘要---目录----正文---附录
(代码及相关图片)
(10)严禁抄袭,如有发现,按不及格处理。
由时序图也可看出,在enable=“01”情况下p和q的相反的关系,在此情况下当dout1为111时q为1,其他情况为0,而当dout1为111时p为0,其他情况为1.所以逻辑上也是对的。
4、
在上述基础理论已经搭建好,各模块都已经分析仿真完成的情况下,把它们连接起来便形成了总的电路原理图,总电路图见第五个部分。下图是总电路图的管脚绑定图,
课程设计(论文)任务书
电气与电子工程学 院电子信息工程专 业2012- 1班
一、课程设计(论文)题目电子密码锁二
二、课程设计(论文)工作自2015年1月5日起至2015年1月16日止。
三、课程设计(论文)地点:电子测控实验室
四、课程设计(论文)容要求:
课程设计任务:
1.具有上锁键和开锁键,每次上锁之前要先按上锁键,然后自设密码(3位),开锁时要先按开锁键,然后输入上锁时设定的密码开锁;
图4-1总电路管脚绑定图
五.仿真及FPGA开发板调试
下图是最终把各个模块连接好后的电路原理图,可见三个模块的使能端都是shangsuo和kaisuo两个端口,在统一的命令要求下易于管理和下达命令,各施其责,达到既定功能的实现。
在shangsuo[上锁]为1,kaisuo[开锁]开关为0时进入上锁状态,shangsuo模块起作用,后两个模块不起作用,此时shangsuozhuangtai[上锁状态]所控制的指示灯亮,在shangsuoshuru[上锁输入]输入三个初始密码,在shizhong[时钟]上升沿到来时把三个初始密码付给dout0[2..0],此时shangsuochenggong[上锁成功]指示灯亮,当shangsuo[上锁]开关为0,kaisuo[开锁]开关为1时进入开锁状态,shangsuo模块不起作用,后两个模块起作用,此时kaisuozhuangtai[开锁状态]所控制的指示灯亮,在kaisuoshuru[开锁输入]三个开关上输入三个开锁密码,如果这三个开锁密码与三个初始密码相同则kaisuochenggong[开锁成功]指示灯亮,并且fengmingqi[蜂鸣器]不报警,如果这三个开锁密码与三个初始密码不相同则开锁成功指示灯不亮,并且蜂鸣器报警。
D触发器的VHDL描述语句为(假设使能端为enable,”10”有效):
if clk’event and clk=’1’then
If enable=“10”then
Dout<=din;
End if;
end if;
上述语句便是一个D触发器的VHDL描述,用不完整的if语句if....end if实现,注意到该语句只提到在满足上升沿并且上升沿有效时把输入din付给输出dout,并没说在其他情况下输入和输出间的关系,VHDL规定其他情况是保持状态,即在满足前述条件时原来输入给输出的是‘1’,则在不满足时输出就是‘1’,原来输入给输出的是‘0’,则在不满足时输出就是‘0’;正是由于这个规定,使D触发器具有了数据存储的功能。
3)课程设计评分标准:
(1)学习态度:10分;
(2)系统设计:20分;
(3)代码调试:20分;
(4)回答问题:20分;
(5)论文撰写:30分。
4)课程设计进度安排
进度安排:
本设计持续10天,其中最后一天为答辩时间。
第1-2天:讲解题目,准备参考资料,检查、调试实验软硬件,进入设计环境,开始
设计方案和验证方案的准备;
第3-4天:完成设计与验证方案,经指导老师验收后进入模块电路设计;
第5-7天:完成模块电路设计,进行代码输入,并完成代码的初步仿真;
第8-9天:代码功能仿真正确,约束设计,综合、下载,实现设计目标,并指导老师
验收设计;整理设计资料,撰写报告、准备答辩;
第10天:验收合格后进行答辩。
学生签名:
2015 年 1 月 5 日
本次课程设计共有27个题目,其中有两个都是电子密码锁的设计,但两者的要不同的,这里讲的是第二个题目,可称作为“电子密码锁二”。
电子密码锁二
1.具有上锁键和开锁键,每次上锁之前要先按上锁键,然后自设密码(3位),开锁时要先按开锁键,然后输入上锁时设定的密码开锁
led灯亮灭代表开锁和上锁
3.密码错误报警并锁定电子锁
如时序图所示只有在shangsuo=’0’并且kaisuo=’1’即enable=“01”时才开启比较功能,如若dout0=din1则dout1=111,其他情况dout1都为默认值000,led1在shangsuo=’0’且kaisuo=’1’时为‘1’,其他情况为0,这在逻辑上都是正确的。
3.控制模块
如电路图所示,led1是上锁状态的指示灯,led2是上锁成功的指示灯,在默认密码“000”下led2不会亮,只有在其他值时才会亮。如时序图所示,只有在shangsuo=’1’并且kaisuo=’0’时即enable=“10”时,而且时钟上升沿时把输入值110付给输出,在此之前输出值一直是默认值000,之后保持110不变;当enable=“10”时led1=1,其他情况为0,实现了上锁状态的指示功能,当输出为110时led2为1,其他情况为0,实现了上锁成功的指示功能。
本密码锁在上锁开关为1,开锁开关为0时进入上锁状态,此时上锁状态指示灯亮,在三个开关上输入三个初始密码,此时上锁成功指示灯亮,当上锁开关为0,开锁开关为1时进入开锁状态,此时开锁状态指示灯亮,在三个开关上输入三个开锁密码,如果这三个开锁密码与三个初始密码相同则开锁成功指示灯亮,并且蜂鸣器不报警,如果这三个开锁密码与三个初始密码不相同则开锁成功指示灯不亮,并且蜂鸣器报警。
可见采用D触发器作为少量数据存储的器件是很有用的,而且这个时钟clk可以直接挂在任何频率上,只要有上升沿即可,不需分频进程。
2.密码比较(开锁)模块
这个模块开始想用状态机,但出现了很多错误,经过慎重考虑,直接采用了一个if语句,所以变得相对简单,主要就是再输入一个三位数,与前个模块送来的三个初始密码进行比较看是否相同,相同则输出111,不同则输出000,供下一个模块检测用。它的模块电路图与时序图如下所示:
其实这个课设任务也不是太难,就是密码存储和比较两个功能,其他的都很简单,就是LED的控制和蜂鸣器的控制,所以本课设分成三个模块就可以搞定:第一个是存储模块即后面的上锁模块,像上面所说的用D触发器来实现,第二个是比较模块即开锁模块,一个if语句就能搞定,最后是密码输入正确与否以及蜂鸣器的控制,很简单,不在话下,具体框图如下所示:
(5)论文撰写(30分):优( )、良( )、中( )、一般( )、差( );
评阅人:职称:
2015 年 1 月 17 日
中文摘要
作为最方便最经济的,当属应用编程方法实现电子密码锁。无论C语言还是c++,也无论是java还是VHDL,编程的思路大致相同。利用所学到的知识VHDL,在这我将设计一个具有较低成本的电子密码锁,本课设报告讲述了我整个设计过程及收获。
课程设计(论文)评审意见
(1)学习态度(10分):优( )、良( )、中( )、一般( )、差( );
(2)系统设计(20分):优( )、良( )、中( )、一般( )、差( );
(3)代码调试(20分):优( )、良( )、中( )、一般( )、差( );
(4)回答问题(20分):优( )、良( )、中( )、一般( )、差( );
由于电路板所提供的开关个数只有八个,所以上锁用去三个,开锁用去三个,剩下两个用作使能端刚好用完,所以所有密码组合情况只有八种情况,如果开关个数能再多点,则可以设计密码更复杂的电子密码锁,但基本原理都是一样的,所以在此仅以三位的密码为例进行设计。
摘要:电子密码锁 上锁状态 开锁状态 三位密码
目录
1、
本模块的功能主要是开锁成功后的电路现象呈现,本可以完全并入开锁模块,但课设有严格篇幅要求,所以还是保留了下来,以供充实课设篇幅长度。下面是它的电路图和时序图:
图3-5控制模块的原理图
图3-6控制模块的时序图
在电路图中,可见仍然有shangsuo和kaisuo使能端,仍然用enable=“01”有效来控制,dout1是前个模块的输出端口,q是控制开锁成功的led灯的亮灭,当dout1=”111”时为1,其他情况为0,p是蜂鸣器的控制端口,与q的值相反,即控制开锁成功为0,其他情况下为1,注意是在enable=“01”时本模块有效,即在此情况下的其他情况下p=1。
(2).模块说明
本模块正是利用了D触发器的上述优点,才得以解决燃眉之急。本模块例化的电路图和时序图如下
图3-1上锁模块的原理图
图3-2上锁模块的时序图
本模块还有一点值得注意的是,鉴于对满足课设要求和对所有模块的控制,采用了语句
Enable<=shangsuo&kaisuo;
即把两个使能端shangsuo和kaisuo并置起来付给enable,假如shangsuo和kaisuo分别为‘1’和‘0’,则enable就是“10”;以此类推。
图3-3开锁模块的原理图
图3-4开锁模块的时序图
如上电路图所示,本模块仍然由shangsuo和kaisuo两个控制端所控制,依旧把它们并置起来给enable,并且为了与密码存储模块所区分,本模块用enable=“01”有效来控制,dout0端口是前个模块的输出,本模块有效时它上面的三个位线电平不再变化,din1和dout1是本模块的输入和输出,输入作为开锁时的密码输入,也是三位,输出取值为000或者111,给下一个模块控制之用,led1在enable=“01”时点亮,指示开锁状态其他情况为0。