EDA设计报告 2
EDA课程设计报告
课程设计报告(理工类)课程名称: EDA技术专业班级:电子信息工程101 学生学号:学生姓名:所属院部:指导教师:20 11 ——20 12 学年第 2 学期设计项目名称:数字秒表设计实验地点:同组学生姓名:设计成绩:批改教师:批改时间:1.课程设计目的2.课程设计的基本要求3.课程设计类型二、仪器和设备三、设计过程1.设计内容和要求2.设计方法和开发步骤3.设计思路4.设计难点四、设计结果与分析1.思路问题以及测试结果失败分析2.程序简要说明1.课程设计目的1)根据设计要求,完成对数字秒表的设计。
2)进一步加强对MaxplusⅡ软件的应用和对VHDL语言的使用。
2.课程设计的基本要求1)提供的时钟信号频率为100Hz,实现计数从0.01s到0.1s,再到1s,10s,1min,10min,1h。
3.课程设计类型1)综合应用设计二、仪器和设备1.计算机,1台三、设计过程1.设计内容和要求1)用MaxplusⅡ软件编程实现六进制计数器、十进制计数器、分频器(3MHz——100MHz)模块。
2)编译各个模块,连接各模块,最终实现一小时的秒表计数功能。
2.设计方法和开发步骤1)编程实现十进制计数器十进制计数器源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity cnt10 isport(clk: in std_logic;clr: in std_logic;ena: in std_logic;cq: out integer range 0 to 15;carry_out: out std_logic);end entity cnt10;architecture art of cnt10 issignal cqi: integer range 0 to 15; beginprocess(clk,clr,ena)isbeginif clr='1'then cqi<=0;elsif clk'event and clk='1'then if ena='1'thenif cqi<9 then cqi<=cqi+1; else cqi<=0;end if;end if;end if;end process;process(cqi)isbeginif cqi=9 then carry_out<='1';else carry_out<='0';end if;end process;cq<=cqi;end architecture art;2)编程实现六进制计数器六进制计数器源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity cnt6 isport(clk: in std_logic;clr: in std_logic;ena: in std_logic;cq: out std_logic_vector(3 downto 0);carry_out: out std_logic);end entity cnt6;architecture art of cnt6 issignal cqi: std_logic_vector(3 downto 0);beginprocess(clk,clr,ena)isbeginif clr='1'then cqi<="0000";elsif clk'event and clk='1'thenif ena='1'thenif cqi="0101"then cqi<="0000";else cqi<=cqi+'1';end if;end if;end if;end process;process(cqi)isbeginif cqi="0000"then carry_out<='1';else carry_out<='0';end if;end process;cq<=cqi;end architecture art;3)编程实现分频器模块分频器源代码(3MHz—100Hz)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity clkgen isport(clk: in std_logic;newclk: out std_logic);end entity clkgen;architecture art of clkgen issignal cnter:integer range 0 to 10#29999#; beginprocess(clk) isbeginif clk'event and clk='1' thenif cnter=29999 then cnter<=0;else cnter<=cnter+1;end if;end if;end process;process(cnter) isbeginif cnter=29999 then newclk<='1';else newclk<='0';end if;end process;end architecture art;3.设计思路因为实验硬件仿真提供3MHz的时钟信号,所以要用分频器实现3MHz 到100Hz的时钟信号的转换。
EDA实验报告(12份).pdf
实验一组合电路的设计1. 实验目的:熟悉MAX + plus II 的VHDL 文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。
2. 实验内容:设计一个2选1多路选择器,并进行仿真测试,给出仿真波形。
3. 实验程序如下:library ieee;use ieee.std_logic_1164.all; entity mux21a isport(a,b,s:in std_logic;y:out std_logic); end entity mux21a; architecture one of mux21a is beginy<=a when s='0' else b ; end architecture one ;4. 仿真波形(如图1-1所示)图1-1 2选1多路选择器仿真波形5. 试验总结:从仿真波形可以看出此2选1多路选择器是当s为低电平时,y输出为b, 当s为高电平时,y输出为a(y<=a when s='0' else b ;),完成2路选择输出。
实验二时序电路的设计1. 实验目的:熟悉MAX + plus II VHDL文本设计过程,学习简单的时序电路设计、仿真和测试。
2. 实验验内容:设计一个锁存器,并进行仿真测试,给出仿真波形。
3. 实验程序如下:library ieee;use ieee.std_logic_1164.all;entity suocun7 isport(clk: in std_logic;en: in std_logic;D: in std_logic_vector(7 downto 0);B:out std_logic_vector(7 downto 0)); end suocun7;architecture one of suocun7 issignal K: std_logic_vector(7 downto 0); beginprocess(clk,en,D)beginif clk'event and clk='1' thenif en ='0'thenK<=D;end if;end if;end process;B<=K;end one;4.仿真波形(如图2-1所示)图2-1 8位锁存器仿真波形此程序完成的是一个8位锁存器,当时钟上升沿到来(clk'event and clk='1')、使能端为低电平(en ='0')时,输出为时钟上升沿时的前一个数,从仿真波形看,实现了此功能。
EDA课程设计报告
EDA课程设计报告•相关推荐EDA课程设计报告在我们平凡的日常里,报告的使用成为日常生活的常态,报告中涉及到专业性术语要解释清楚。
那么报告应该怎么写才合适呢?以下是小编为大家整理的EDA课程设计报告,希望对大家有所帮助。
EDA课程设计报告1实训任务:一、实训目的和要求:(1)熟练掌握keil c51集成开发环境的使用方法(2)熟悉keil c51集成开发环境调试功能的使用和dp?51pro。
net单片机仿真器、编程器、实验仪三合一综合开发平台的使用。
(3)利用单片机的p1口作io口,学会利用p1口作为输入和输出口。
(4)了解掌握单片机芯片的烧写方法与步骤。
(5)学会用单片机汇编语言编写程序,熟悉掌握常用指令的功能运用。
(6)掌握利用protel 99 se绘制电路原理图及pcb图。
(7)了解pcb板的制作腐蚀过程。
二、实训器材:pc机(一台)pcb板(一块)520ω电阻(八只)10k电阻(一只)led发光二极管(八只)25v 10μf电容(一只)单片机ic座(一块)at89c51单片机芯片(一块)热转印机(一台)dp?51pro。
net单片机仿真器、编程器、实验仪三合一综合开发平台(一台)三、实训步骤:(2)将流水灯程序编写完整并使用tkstudy ice调试运行。
(4)打开电源,将编写好的程序运用tkstudy ice进行全速运行,看能否实现任务要求。
(6)制板。
首先利用protel 99 se画好原理图,根据原理图绘制pcb图,然后将绘制好的pcb布线图打印出来,经热转印机转印,将整个布线图印至pcb板上,最后将印有布线图的pcb板投入装有三氯化铁溶液的容器内进行腐蚀,待pcb板上布线图外的铜全部后,将其取出,清洗干净。
(7)焊接。
将所给元器件根据原理图一一焊至pcb板相应位置。
(8)调试。
先把at89c51芯片插入ic座,再将+5v电源加到制作好的功能板电源接口上,观察功能演示的整个过程(看能否实现任务功能)。
eda课程设计报告
eda课程设计报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用EDA软件进行电路设计与仿真,理解并掌握数字电路的设计原理。
3. 学生了解并掌握基础的硬件描述语言(如VHDL/Verilog),能完成简单的数字系统设计。
技能目标:1. 学生通过EDA软件的操作,培养电子电路设计、仿真与验证的实际操作能力。
2. 学生通过小组合作完成设计项目,提高团队协作与沟通技巧。
3. 学生能够运用所学知识解决实际问题,具备一定的创新意识和动手能力。
情感态度价值观目标:1. 学生在EDA课程学习中,培养对电子科学技术的兴趣和探究精神。
2. 学生通过课程实践,增强自信心和成就感,激发进一步学习的动力。
3. 学生在学习过程中,树立正确的工程伦理观念,认识到技术发展对社会的责任和影响。
课程性质:本课程为电子信息工程及相关专业高年级学生的专业核心课程,旨在通过理论与实践相结合的教学,提高学生的电子设计能力。
学生特点:学生已具备一定的电子技术基础,具有较强的学习能力和实践欲望,对新技术和新工具充满好奇心。
教学要求:结合学生特点,注重培养实际操作能力,鼓励学生创新思维,提高解决实际问题的能力。
通过课程目标分解,确保学生在知识、技能和情感态度价值观方面的全面成长。
后续教学设计和评估将以此为基础,关注学生的学习成果。
二、教学内容根据课程目标,教学内容分为以下三个模块:1. EDA基本概念与工具使用- 教材章节:第一章 EDA技术概述,第二章 EDA工具简介- 内容列举:EDA发展历程,常用EDA软件介绍,软件安装与配置,基本操作流程。
2. 数字电路设计与仿真- 教材章节:第三章 数字电路设计基础,第四章 仿真技术- 内容列举:数字电路设计原理,EDA软件电路设计流程,仿真参数设置,波形分析与验证。
3. 硬件描述语言与数字系统设计- 教材章节:第五章 硬件描述语言,第六章 数字系统设计实例- 内容列举:硬件描述语言基础,VHDL/Verilog语法要点,简单数字系统设计方法,设计实例分析与实操。
EDA实验报告 (2)
实验一QUARTUS II软件安装、基本界面及设计入门一、实验目的:QUARTUSII是Altera公司提供的EDA工具,是当今业界最优秀的EDA设计工具之一。
提供了一种与结构无关的设计环境,使得电子设计人员能够方便地进行设计输入、快速处理和器件编程。
通过本次实验使学生熟悉QUARTUSII软件的安装,基本界面及基本操作,并练习使用QUARTUS的图形编辑器绘制电路图。
二、实验内容:1、安装QUARTUSII软件;2、熟悉QUARTUSII基本界面及操作;3通过一个4位加法器的设计实例来熟悉采用图形输入方式进行简单逻辑设计的步骤。
三、实验仪器:1、PC机一台;2、QUARTUSII软件;3、EDA实验箱。
四、实验原理:4位加法器是一种可实现两个4位二进制数的加法操作的器件。
输入两个4位二进制的被加数A和B,以及输入进位Ci,输出为一个4位二进制和数D和输出进位数Co。
半加操作就是求两个加数A、B的和,输出本位和数S及进位数C。
全加器有3位输入,分别是加数A、B和一个进位Ci。
将这3个数相加,得出本位和数(全加和数)D和进位数Co。
全加器由两个半加器和一个或门组成。
五、实验步骤:安装QUARTUSII软件;因为实验时我的机器了已经有QUARTUSII软件,所以我并没有进行安装软件的操作。
设计半加器:在进行半加器模块逻辑设计时,采用由上至下的设计方法,在进行设计输入时,需要由下至上分级输入,使用QuartusIIGraphic Editor进行设计输入的步骤如下。
(1)、打开QUARTUSII软件,选择File-new project wizard…新建一个设计实体名为has的项目文件;(2)、新建文件,在block.bdf窗口下添加元件符号,并连接。
如下图:半加器原理图(3)、将此文件另存为has.gdf的文件。
(4)、在主菜单中选择Processing→Start Compilation命令,系统对设计进行编译,同时打开Compilation Report Flow Summary窗体,Status视图显示编译进程。
EDA课程设计报告
EDA课程设计报告姓名:专业班级:通信1002学号:指导老师:设计日期:2012.06.11~2012.06.15课程设计目的通过EDA课程设计,在学习EDA仿真软件SystemView使用方法的基础上,要求掌握最基本的调幅发射与接收系统的工作原理与系统仿真设计。
课程设计内容以《SystemView动态系统分析及通信系统仿真设计》一书第一章、第二章、第四章为参考资料,完成练习题1.1、1.2、1.3,练习题2.1、2.2、2.3,并设计常规双边带调幅、超外差收音机仿真电路,并进行电路仿真及分析。
一、第一章练习题1.11.1.1 题目要求试用频率分别为f1=200Hz、f2=2000Hz的两个正弦信号源,合成一调制信号y(t)=5sin(2πf1*t)*cos(2πf2*t),观察其频谱与输出信号波形。
注意根据信号的频率选择适当的系统采样速率。
1.1.2 仿真电路仿真电路如图1.1.1所示,其中图符1的幅度为5v,频率为200Hz,相位为0,图符2的幅度为1v,频率为2000Hz,相位为0。
图1.1.1 仿真电路其中系统采样速率的参数设置如图1.1.2所示,根据奈奎斯特频率,系统采样速率至少为信号频率的两倍。
图1.1.2 系统采样速率1.1.3 仿真结果输出信号波形及其频谱如图1.1.3、图1.1.4所示。
观察调制后信号的频谱图,会出现两个频率,分别为f2+f1=2200Hz和f2-f1=1800Hz。
图1.1.3 输出信号波形+3+3图1.1.4 输出信号频谱1.21.2.1 题目要求将一正弦信号与高斯信号相加后观察输出波形及其频谱。
由小到大高斯信号的功率,重新观察输出波形及其频谱。
1.2.2 仿真电路电路仿真如图1.2.1所示。
图1.2.1 仿真电路系统输出的信号波形及其频谱如图1.2.2、图1.2.3所示。
当由小到大改变高斯噪声的功率时,即改变图符1的标准差,输出的波形和频谱会越来越杂乱无章,而当高斯信号的标准差设置为0时,输出波形和频谱即为正弦信号的波形和频谱。
eda实验报告
eda实验报告
1. 实验目的
通过本次实验,了解EDA(Electronic Design Automation)的基本概念和应用模式,并通过实际操作掌握EDA工具的使用方法和流程。
2. 实验原理
EDA是电子设计自动化的缩写,是指通过计算机技术来实现电子系统设计的各个环节的自动化。
常用的EDA工具有电路仿真、电路布局、原理图设计、印刷电路板设计等。
3. 实验步骤
3.1 电路仿真
首先,我们需打开EDA工具,并导入所需的仿真器和电路元件库。
其次,我们需绘制电路图并进行仿真,根据仿真结果进一步分析和改进电路设计。
3.2 电路布局
在电路设计完成后,我们需进行电路布局,以便更精确地计算
电路性能和参数。
在布局过程中,我们需根据电路设计需求进行
元件排布,并考虑布局紧凑性和功耗等因素。
3.3 原理图设计
电路图设计是EDA工具中非常重要的一个环节,它可以帮助
我们全面了解电路设计的各个细节,确定电路元件的类型和参数,以及进一步优化电路性能。
3.4 印刷电路板设计
在进行电路仿真、布局、原理图设计后,我们需将电路设计转
化为印刷电路板(PCB)的形式。
在进行印刷电路板设计前,我
们需考虑各个细节,在选择印刷方式、器件布局、线路距离、阻
抗匹配等方面进行优化和调整。
4. 实验结论
通过本次实验,我深刻认识到EDA工具在电子设计中的应用
和重要性,并掌握了EDA工具的基本操作方法和流程。
此外,我
了解了EDA工具在电子设计和生产中的优势和局限性,对于今后
电子设计工作的开展和优化有很大的指导意义。
电子行业EDA课程设计报告
电子行业EDA课程设计报告1. 引言本文档是电子行业EDA课程的设计报告,旨在介绍课程的设计目标、内容、实施过程以及所取得的成果。
EDA(Electronics Design Automation)是指电子设计自动化,是电子行业中一种重要的设计和开发方法。
本课程旨在培养学生掌握EDA的基本概念、流程和工具,从而提高他们在电子行业中的设计能力和竞争力。
2. 设计目标本课程的设计目标如下:1.培养学生掌握EDA的基本概念和原理。
2.培养学生熟练掌握EDA工具的使用和应用。
3.培养学生具备独立进行EDA项目设计和开发的能力。
4.提高学生的团队合作和沟通能力。
3. 课程内容本课程的内容主要包括以下几个方面:3.1 EDA基础知识在本节课程中,学生将学习EDA的基本概念和原理,包括电子设计流程、硬件描述语言、电路仿真和验证等方面的知识。
3.2 EDA工具的使用本节课程将介绍常用的EDA工具,包括电路设计工具、电路仿真工具和电路布局工具等。
学生将学习如何使用这些工具进行电路设计、仿真和验证。
3.3 EDA项目设计与开发在本节课程中,学生将进行一个EDA项目的设计与开发实践。
学生将根据给定的项目需求,使用所学的EDA工具进行电路设计、仿真和验证,并最终提交一个完整的EDA项目报告。
3.4 团队合作与项目管理本节课程将讲解团队合作和项目管理的基本原理和方法,包括任务分配、进度管理和沟通协作等方面的内容。
学生将通过分组合作,在完成EDA项目的过程中提高团队合作和沟通能力。
4. 实施过程本课程的实施过程主要包括以下几个阶段:4.1 阶段一:知识讲解在此阶段,教师将讲解EDA的基本概念、流程和工具使用方法。
学生将通过课堂听讲和课后阅读相关资料,对EDA的基础知识进行学习和理解。
4.2 阶段二:工具练习在此阶段,学生将通过实际操作,熟悉常用的EDA工具的使用方法。
教师将提供实验环境和相关实验指导,学生将利用课余时间进行工具的练习和实践。
eda课程设计报告
eda课程设计报告本篇课程设计报告旨在介绍我所参加的EDA课程设计项目。
在这个项目中,我们学习了EDA(Electronic Design Automation)的基本概念和工具,并且设计了一个基于RTL(Register Transfer Level)的数字电路。
以下是具体内容:一、课程设计背景EDA是指用计算机辅助设计来协助电子设计工程师进行电子系统的设计、验证和实现。
EDA已经成为了电子工程领域中不可或缺的工具。
本次课程设计旨在让我们熟悉EDA工具的使用,理解数字电路设计和验证的基本原理。
二、课程设计内容我们采用了Verilog语言来描述数字电路,使用Xilinx Vivado 作为开发工具。
我们首先学习了Verilog的基本语法和编写方法,然后根据老师提供的案例,设计了一个基于RTL的数字电路——多功能计数器。
多功能计数器由三个模块组成:计数模块、比较模块和控制模块。
其中计数模块负责计数,比较模块负责比较计数器的值和一个给定的阈值,控制模块负责根据比较结果控制计数器的计数和清零。
我们使用Xilinx Vivado中的IP核生成器来构建这些模块,并将它们组合成一个完整的数字电路。
三、课程设计效果通过本次课程设计,我们掌握了EDA工具的基本使用和数字电路设计的基本原理。
我们通过自己的实践,加深了对Verilog语言的理解,并且学会了如何使用Xilinx Vivado来开发数字电路。
最终,我们成功实现了一个多功能计数器,并且对其进行了测试和验证,达到了预期效果。
四、总结EDA课程设计为我们打下了坚实的数字电路设计基础,使我们更加熟练地使用EDA工具,同时也为我们今后的学习和工作奠定了基础。
我们将继续探索EDA工具的应用,深入了解数字电路设计的原理和方法,为电子工程领域做出更多的贡献。
EDA课程设计实验报告_2
***********大学课程设计报告设计名称:3位数字频率设计姓名:学号:专业班级:08级电子信息工程专业二班院(系):计算机与信息工程学院设计时间:2011年1月4日至10日设计地点:电子信息楼4楼目录题目:3位数字频率计1页一、数字频率计的功能……………………………………………………1页二、数字频率计的设计思路………………………………………………1页三、硬件资源概述…………………………………………………………1~5页四、各模块的VHDL语言描述与实现……………………………………5~13页五、仿真模拟图……………………………………………………………13~15页六、软件硬件结合实现………………………………………………15~17页六、仿真及调试心得…………………………………………………………17页七、总结……………………………………………………………………17页3位数字频率计设计一、数字频率计的功能我设计的是3位数字频率计,用3个十进制数字显示式频率,其频率范围为1MHz。
有五个档位,并能自动换档。
五个档的具体功能是:1、计数溢出档,当频率计数超过量程时,自动显示溢出标--"-1.-1.-1";2、1MHz档,显示000到999,默认单位为1KHz;3、100KHz档,显示00.0到99.9,默认单位为1KHz;4、10KHz档,显示0.00到9.99,默认单位为1KHz;5、测周档,当所测频率小于0.99KHz时,显示数值变为周期,以毫秒为默认单位。
二、数字频率计的设计思路1、时基的设计在测频时,输入信号的频率大于频率计提供的基准频率,所以这时以频率计提供的基准频率信号为时基,输入信号为时钟信号,在频率计提供的基准信号周期里,计算输入信号的周期数,再乘以频率计的基准频率,就是输入信号的频率值。
测周时,输入信号的频率小于频率计提供的基准频率信号,所以要以频率计提供的基准频率信号为时钟信号,以输入信号为时基信号,在输入信号周期内,计算频率计提供的基准信号的周期数,再乘以基准频率的周期值,就是输入信号的周期值。
eda课程设计实训报告
eda课程设计实训报告一、教学目标本课程的教学目标分为知识目标、技能目标和情感态度价值观目标。
知识目标:学生通过本课程的学习,能够掌握eda的基本概念、原理和应用。
技能目标:学生能够熟练使用eda工具,进行电子系统设计和仿真。
情感态度价值观目标:培养学生对科技创新的兴趣和热情,提高学生解决实际问题的能力。
二、教学内容根据课程目标,本课程的教学内容主要包括eda基本概念、eda工具的使用和电子系统设计实例。
教学大纲如下:1.第一章:eda概述学习eda的基本概念、发展历程和应用领域。
2.第二章:eda工具介绍学习主流eda工具的使用方法和技巧。
3.第三章:电子系统设计实例通过具体实例,学习如何使用eda工具进行电子系统设计和仿真。
三、教学方法本课程采用讲授法、讨论法、案例分析法和实验法等多种教学方法。
1.讲授法:用于讲解eda的基本概念和原理。
2.讨论法:用于引导学生探讨和解决问题。
3.案例分析法:通过分析具体案例,让学生掌握eda工具的使用方法和技巧。
4.实验法:让学生动手实践,提高实际操作能力。
四、教学资源本课程的教学资源包括教材、参考书、多媒体资料和实验设备。
1.教材:选用权威、实用的eda教材作为主要学习资料。
2.参考书:提供相关领域的参考书籍,丰富学生的知识体系。
3.多媒体资料:制作精美的PPT、视频等多媒体资料,提高学生的学习兴趣。
4.实验设备:保障实验课程的顺利进行,让学生充分实践。
五、教学评估本课程的评估方式包括平时表现、作业和考试。
1.平时表现:通过课堂参与、提问和讨论等方式评估学生的学习态度和理解程度。
2.作业:布置适量的作业,评估学生的掌握情况和应用能力。
3.考试:进行期中和期末考试,全面评估学生的知识掌握和运用能力。
评估方式要求客观、公正,能够全面反映学生的学习成果。
六、教学安排教学进度安排如下:1.第一章:eda概述(2课时)2.第二章:eda工具介绍(4课时)3.第三章:电子系统设计实例(6课时)教学时间安排为每周2课时,共计12课时。
eda课程设计报告
eda课程设计报告正文一、设计思路1.基于QUASTUS II平台,利用DDS(直接数字信号合成)技术,采用VHDL语言,设计一波形信号发生器。
首先根据对各波形的幅度进行采样,获得各波形的波形数据表,然后FPGA根据输入的时钟(频率可根据要求可变)作为地址信号,从FPGA数据线上输出相应的波形数据,再送入实验板上的D/A转换芯片进行转换为模拟信号,最后送入滤波电路滤波后输出。
2.实验整体框图如下:由斜降锯齿波模块(dj)、斜升锯齿波模块(dz)、方波模块(fb)、三角波模块(jcb)、阶梯波模块(jtb)、6选1选择器(xz)正弦波模块(zx)以及、译码显示模块(ym)组成。
二、设计输入文件与调试1.分频器用4个100分频器串接实现。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity fenpin isport (clk: in std_logic;clkfen: out std_logic);end fenpin;architecture fenpin of fenpin is signal clk_mid: std_logic;beginprocess(clk)variable data:integer range 0 to 99; beginif clk'event and clk='1' thenif data=99 thendata:=0; clk_mid<=not clk_mid; elsedata:=data+1;end if;nd if;clkfen<=clk_mid;end process;end fenpin;2.递减(锯齿波)波形数据产生模块设计采用255~0循环加法计数器实现。
设计思路是: reset 是复位信号, 要首先考虑。
EDA设计II实验报告——多功能数字钟
『EDA设计II』课程实验报告姓名学号学院指导教师时间 2011年 05月多功能数字钟摘要:本实验利用Quartus II软件设计多功能数字钟并下载到Smart SOPC实验系统,实现校分、校时、清零、保持和整点报时等多种基本功能,以及闹钟等附加功能。
本实验首先通过Quartus II 软件对各模块进行原理图设计,并进行仿真调试,最后下载至实验平台验证其功能。
关键词:多功能数字钟Quartus II软件仿真封装校分校时清零保持整点报时闹钟Abstract:The experiment is to design a multi-purpose digital clock by Quartus II and then download to the test system of Smart SOPC. It can realize many functions such as minute adjusting, hour adjusting, resetting, keeping and reporting time on integral hour. Apart from this, it can also be used as a alarm clock. First of all, we design the schematic diagram of every part. In addition, we simulate through Quartus II. At last, we download it to the tests platform and test the function.Key words:multi-purpose digital clock Quartus II simulate seal minute- adjusting hour adjusting resetting keeping reporting time on integral hour alarm clock目录一设计要求说明 (3)1 实验目的 (3)2 实验要求 (3)二方案论证 (4)1 总体电路图 (5)2 基本计时电路工作原理 (5)3 附加闹钟电路工作原理 (5)4 多功能数字钟原理框图 (6)三基本计时电路各子模块工作原理 (6)1 脉冲发生电路 (6)2 计时电路 (10)3 校时、校分、保持、清零电路 (14)4 动态译码显示电路 (16)5 报时电路 (18)6 消颤开关组电路 (19)7 基本计时电路综合 (21)四闹钟电路子模块工作原理 (24)1 闹钟消颤开关与闹钟校时校分清零电路 (24)2 闹钟与计时校时分复用电路 (27)3 比较电路 (28)4 2选1 显示复用电路 (28)5 闹钟与整点报时复用电路 (29)五程序下载 (30)六实验总结与感想 (30)1 实验中出现的问题总结 (30)2 实验感想 (31)一、设计要求说明1、实验目的1)掌握较为复杂逻辑电路的设计方法。
EDA实验报告
EDA实验报告一、实验目的本次 EDA 实验的主要目的是熟悉电子设计自动化(EDA)软件的使用,掌握数字电路的设计、仿真和实现流程,提高对数字逻辑电路的理解和设计能力。
二、实验设备与环境1、计算机一台2、 EDA 软件(如 Quartus II 等)三、实验原理1、数字逻辑基础数字电路中的基本逻辑门包括与门、或门、非门、与非门、或非门等。
通过这些基本逻辑门的组合,可以实现各种复杂的数字逻辑功能。
2、组合逻辑电路组合逻辑电路的输出仅取决于当前的输入,不存在存储单元。
常见的组合逻辑电路有加法器、编码器、译码器等。
3、时序逻辑电路时序逻辑电路的输出不仅取决于当前的输入,还与电路的过去状态有关。
常见的时序逻辑电路有计数器、寄存器等。
四、实验内容1、设计一个简单的加法器使用基本逻辑门设计一个两位加法器,输入为两个两位的二进制数A 和 B,输出为它们的和 S 以及进位 C。
2、设计一个 4 位计数器实现一个 4 位的计数器,能够在时钟信号的上升沿进行计数,计数范围为 0 到 15。
3、设计一个数码管显示译码器将输入的 4 位二进制数转换为数码管的 7 段显示编码,实现数字 0 到 9 的显示。
五、实验步骤1、加法器设计(1)打开 EDA 软件,创建一个新的项目。
(2)使用原理图输入方式,绘制出加法器的逻辑电路图,包括两个半加器和一个或门。
(3)对设计进行编译,检查是否存在语法错误。
(4)创建仿真文件,设置输入信号的激励,进行功能仿真,观察输出结果是否符合预期。
2、计数器设计(1)在项目中新建一个模块,使用 Verilog HDL 语言描述计数器的功能。
(2)编写测试代码,对计数器进行仿真验证。
(3)将计数器下载到硬件开发板上,通过观察实际的输出结果验证其功能。
3、数码管显示译码器设计(1)同样使用原理图输入方式,设计数码管显示译码器的逻辑电路。
(2)进行编译和仿真,确保译码器的功能正确。
(3)将译码器与计数器连接起来,实现数码管的动态显示。
eda课程设计实验报告
eda课程设计实验报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用所学知识,设计并实现基本的数字电路。
3. 学生了解数字电路的设计流程,掌握设计规范,具备初步的电路分析能力。
技能目标:1. 学生能独立操作EDA软件,完成电路的原理图绘制、仿真和布局布线。
2. 学生通过实验报告的撰写,提高实验数据分析、总结归纳的能力。
3. 学生在小组合作中,提高沟通协调能力和团队协作能力。
情感态度价值观目标:1. 学生培养对电子科学的兴趣,激发创新意识,增强实践能力。
2. 学生在实验过程中,形成严谨的科学态度,提高问题解决能力。
3. 学生通过课程学习,认识到科技发展对国家和社会的重要性,增强社会责任感。
课程性质:本课程为实践性较强的电子设计课程,旨在培养学生的实际操作能力、创新意识和团队合作精神。
学生特点:六年级学生具有一定的电子知识基础,好奇心强,喜欢动手实践,但需加强对理论知识的理解和应用。
教学要求:结合学生特点,注重理论与实践相结合,充分调动学生的积极性,提高学生的实践能力和创新能力。
将课程目标分解为具体的学习成果,便于教学设计和评估。
二、教学内容根据课程目标,本章节教学内容主要包括以下几部分:1. EDA基本概念与工具介绍- 电子设计自动化原理简介- 常用EDA软件功能与操作方法2. 数字电路设计基础- 数字电路基本元件及功能- 原理图绘制与仿真分析3. 布局布线与PCB设计- PCB设计流程与方法- 布局布线技巧与规范4. 实验报告撰写- 实验数据整理与分析- 实验总结与反思教学大纲安排如下:第一周:- EDA基本概念与工具介绍- 数字电路基本元件及功能第二周:- 原理图绘制与仿真分析第三周:- 布局布线与PCB设计第四周:- 实验报告撰写教学内容与教材关联性:本教学内容与教材《电子技术基础与实践》第六章“电子设计自动化”相关章节紧密相连,确保了教学内容的科学性和系统性。
EDA设计实验报告
EDA设计(一)实验报告专业:电气工程及其自动化摘要通过实验学习和训练,掌握基于计算机和信息技术的电路系统设计和仿真方法。
要求:1. 熟悉Multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用和掌握常见电路分析方法。
2. 能够运用Multisim软件对模拟电路进行设计和性能分析,掌握EDA设计的基本方法和步骤。
Multisim常用分析方法:直流工作点分析、直流扫描分析、交流分析。
掌握设计电路参数的方法。
复习巩固单级放大电路的工作原理,掌握静态工作点的选择对电路的影响。
了解负反馈对两级放大电路的影响,掌握阶梯波的产生原理及产生过程。
关键字:电路仿真 Multisim 负反馈阶梯波目录实验内容:实验一:单级放大电路的设计与仿真--------------------------2 实验二:差动放大电路的设计与仿真--------------------------13 实验三:负反馈放大电路的设计与仿真-----------------------21 实验四:阶梯波发生器的设计与仿真--------------------------29实验一:单级放大电路的设计与仿真一.实验目的1. 掌握放大电路静态工作点的调整和测试方法。
2. 掌握放大电路的动态参数的测试方法。
3. 观察静态工作点的选择对输出波形及电压放大倍数的影响。
二.实验内容1.放大电路原理图:2.饱和失真,截止失真,不失真的波形图及静态工作点:A)饱和失真:正半轴最大幅度:3.767mV负半轴最大幅度:-3.321mV幅度差值大于10%,故可以认为已失真,且为饱和失真。
饱和时三极管静态工作点:三极管Vce=2.96318-2.91560<<1VB)截止失真:正半轴最大幅度:323.6mV负半轴最大幅度:374.5mV幅度差值大于10%,故可以认为已失真,且为截止失真。
截止时三极管静态工作点:三极管Vce=6V>>1V。
(完整word版)EDA实验报告-实验2-数码管扫描显示电路
暨南大学本科实验报告专用纸课程名称 EDA 实验 成绩评定 实验项目名称 数码管扫描显示电路 指导教师 郭江陵 实验项目编号 02 实验项目类型 验证 实验地点 B305 学院 电气信息学院 系 专业 物联网工程 组号: A6一、实验前准备本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。
EDAPRO/240H 实验仪主板的VCCINT 跳线器右跳设定为3。
3V ; EDAPRO/240H 实验仪主板的VCCIO 跳线器组中“VCCIO3.3V"应短接,其余VCCIO 均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT 跳线器组设定为2。
5V ;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO 跳线器组设定为3。
3V 。
请参考前面第二章中关于“电源模块"的说明。
二、实验目的1、了解时序电路设计。
2、制作一个数码管显示的7段译码电路,以备以后调用.三、实验原理在电子电路显示部分里,发光二极管(LED)、七段显示数码管、液晶显示(LCD)均是十分常见的人机接口电路.通常点亮一个LED 所需的电流在5~20mA 之间,电流愈大,LED 的亮度也高,相对的使用寿命也愈短。
若以10mA 导通电流来估算一个接5V 的串接电阻值计算应为:(5-1.6)/10mA ≈0.34K Ω。
七段显示数码管分为共阳、共阴二种极性。
它们等效成八个LED 相连电路。
共阴极七段显示器的LED位置定义和等效电路共阴极七段显示码十六进制转换表四、实验内容用拨码开关产生8421BCD码,CPLD器件产生译码及扫描电路,把BCD码显示在LED数码管上,通过改变扫描频率观察数码管刷新效果。
五、实验要求学习在MAX+PLUS II中使用VHDL设计功能模块,并将所生成的功能模块转换成MAX+PLUS II原理图的符号库,以便在使用原理图时调用该库。
EDA课程设计报告
EDA课程设计报告一、设计任务模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯操纵器。
能达到的要求:(1)交通灯从绿变红时,有4秒黄灯亮的间隔时刻;(2)交通灯红变绿是直截了当进行的,没有间隔时刻;(3)主干道上的绿灯时刻为40秒,支干道的绿灯时刻为20秒;(4)在任意时刻,显示每个状态到该状态终止所需的时刻。
支干道主干道图1 路口交通治理示意图二、设计原理1、设计目的:学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯操纵系统,交通灯操纵系统要紧是实现都市十字交叉路口红绿灯的操纵2、设计讲明在红绿灯交通信号系统中,大多数情形是通过自动操纵的方式指挥交通的。
因此为了幸免意外事件的发生,电路必须给一个稳固的时钟(clock)才能让系统正常运作。
模块讲明:系统输入信号:Clk: 由外接信号发生器提供256的时钟信号;系统输出信号: full:产生每秒一个脉冲的信号;(2)第二模块:计数秒数选择电路计数电路最要紧的功能确实是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。
模块讲明:系统输入:full: 接收由clk电路的提供的1hz的时钟脉冲信号;系统输出信号:tm:产生显示电路状态转换信号tl:倒计数值秒数个位变化操纵信号th:倒计数值秒数十位变化操纵信号(3)第三模块:红绿灯状态转换电路本电路负责红绿灯的转换。
模块讲明:系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号;tm: 接收计数秒数选择电路状态转换信号;系统输出信号:comb_out: 负责红绿灯的状态显示。
本电路负责红绿灯的计数时刻的显示。
模块讲明:系统输入信号:tl:倒计数值秒数个位变化操纵信号;th:倒计数值秒数十位变化操纵信号;系统输出信号:led7s1: 负责红绿灯的显示秒数个位。
led7s2:负责红绿灯的显示秒数十位。
EDA实验报告实验二:二进制码转换成BCD码
实验二二进制转换成BCD码
一、实验目的
设计并实现一个4位二进制码转换成BCD码的转换器。
二、实验仪器
SOPC实验箱、Quartus II软件
三、实验原理
对于不同代码之间的转换,有用硬件实现的,也有用软件实现的。
对于硬件实现,可以用一般的组合逻辑电路实现,也可以用译码器、编码器或只读存储器来实现。
本实验的原理见表3-1所示。
四、实验内容
1、启动Quartus II 建立一个空白工程,然后命名。
2、新建VHDL源程序文件并命名,输入程序代码并保存,进行综合编译,若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。
3、新建仿真文件,对各模块设计进行仿真,验证设计结果。
打印仿真结果。
五、实验步骤
1.各模块程序:
1)用文本输入法实现秒的计时,程序如下:
module BCD(D,B);
output [4:0] B;
input [3:0] D;
reg [4:0] B;
always@ (D)
begin
if(D<4'b1010) begin B[3:0]=D[3:0];B[4]=1'b0;end
else begin B[3:0]=D[3:0]-4'b1010;B[4]=1'b1;end
end
endmodule
2.建立工作库文件夹,输入设计项目原理图或vorilog代码并存盘。
3.生成RTL图。
4.进行波形仿真,仿真后波形如下:
六、实验结果与现象验证
输入任何一个十六进制数产生了与二进制码转换成BCD码的转换真值表相对于的BCD码.。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
JIU JIANG UNIVERSITY数字逻辑系统课程设计报告设计课题:数字秒表设计专业:电子信息工程班级学号:学生姓名:指导教师:设计时间:2012-12-24——2012-12-28数字秒表1.设计任务与要求1.1、设计出的是一个能够精确反映计时时间,且具有复位、计时、暂停功能的数字秒表,老师要求是计下的时间存储下来并能以后调出显示出来。
1.2、设计的秒表输入脉冲为3MHz,秒表的最大计时范围为1h,精确度为0.01s,其度量单位有0.1s、1s、1min等档位,并且各档位可实现进位。
2.方案设计及主要技术思路2.1、方案一:用LED灯来显示计数结果。
将系统分为四个模块,分别为:顶层模块程序、3MHz--->100Hz分频模块、十进制计数器模块、六进制计数器模块。
顶层模块:由于十进制计数模块和六进制计数模块会产生计数溢出信号,而这些溢出信号有可能作为下一计数模块的时钟输入脉冲,因此需要使用一个顶层模块将这些基本模块通过元件调用与映射的关系进行有机结合。
分频模块:就是实现3MHz到100Hz的分频,实体定义3MHz时钟频率输入端和100Hz的时钟脉冲输出端。
十进制计数器模块:用来实现0.01s、0.1s、1s和1min单位单元的计数。
六进制计数器模块:用来实现10s、10min为单位单元的计数。
2.2、方案二:用数码管来显示计数结果。
将系统分为六个模块,分别为:3MHz->100Hz分频模块、48MHz->1KHz分频模块、十进制计数模块、六进制计数模块、锁存模块、数码管显示模块。
3MHz->100Hz分频模块:由于系统的精度是0.01s,因此要设置周期为100Hz 的时钟脉冲。
又因为数字秒表输入的脉冲为3MHz,所以外界每输入3000Hz的脉冲系统就输出一个频率,这时频率的时间周期刚好为0.01s,还有就是实现48MHz —>1KHz的功能给显示模块时钟信号的,而分频模块就是完成这样的功能。
48MHz—>1KHz分频模块:用于数码管显示的分频,使计数显示更清晰、更准确。
十进制计数器模块:用来实现0.01s、0.1s、1s和1min单位单元的计数。
六进制计数器模块:用来实现10s、10min为单位单元的计数。
锁存模块:用来实现计数的锁存,功能实现就是实现计数的暂停。
数码管显示模块:用数码管来精确显示计数结果。
2.3、优劣分析及方案选定LED灯显示是以二进制的形式显示出来的,而数码管则直接显示数字,所以数码管显示来的更直观,再一个方案一涉及到元件例化语句,各分模块要实现元件调用和映射。
由于本人对元件例化语句不熟,所以方案一不考虑。
所以我选用方案二来完成本设计。
2.4 采用的硬件平台及主要技术本设计采用的硬件平台是编程片上系统,简称SOPC。
主要技术有以处理器和实时多任务操作系统(RTOS)为中心的软件中心技术、以PCB和信号完整性分析为基础的高速电路设计技术。
3.模块设计过程3.1、3MHz->100Hz分频模块的设计实现3MHz—>100Hz的分频,模块图程序代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity szmb3 isport(clk_3m:in std_logic;clk_100:out std_logic);--定义输入输出端口,输入为3MHz,输出为100Hz end szmb3;architecture one of szmb3 issignal clk_100_r:std_logic;signal count:integer range 0 to 10#15000#;--用于计数beginprocess(clk_3m)beginif clk_3m'event and clk_3m='1' then --上升沿if count=10#15000# then count<=0;clk_100_r<=not clk_100_r;--当count=10#1500#时,本身取反,输出为零else count<=count+1;--计数加一end if;end if;end process;clk_100<=clk_100_r;end one;3.2、48MHz—>1KHz分频模块的设计实现48MHz—>1KHz的分频,模块图程序代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity fp1 isport(clk_48m: in std_logic;clk_1k: out std_logic);----定义输入输出端口end fp1;architecture behav of fp1 issignal clk_1k_r: std_logic;signal count : std_logic_vector(14 downto 0); --用于计数beginprocess (clk_48m)beginif clk_48m'event and clk_48m='1' thenif count="110000000000000" thencount<=(others=>'0');--当count="110000000000000"时取反 clk_1k_r<=not clk_1k_r;else count<=count+1;--否则的话计数加一end if;end if;end process;clk_1k<=clk_1k_r;end behav;3.3、十进制计数模块的设计用来实现0.01s、0.1s、1s和1min单位单元的计数。
模块图程序代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity szmb2 isport(clk,rst:in std_logic;cq:out std_logic_vector(3 downto 0);cout:out std_logic);--定义输入输出端口end szmb2;architecture one of szmb2 issignal cq1:std_logic_vector(3 downto 0);signal cout1:std_logic;--定义计数beginprocess(clk,rst)beginif rst='1' then cq1<="0000";cout1<='0';--复位清零elsif clk'event and clk='1' thenif cq1<"1001" then cq1<=cq1+1;cout1<='0';--上升沿时,若cq1小于9的话,自身加一,不进位else cq1<="0000";cout1<='1';--否则的话,cq1为零,计数进位加一end if;end if;end process;cq<=cq1;cout<=cout1;end one;3.4、六进制计数模块的设计用来实现10s、10min为单位单元的计数。
模块图程序代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity szmb1 isport(clk,rst:in std_logic;cq:out std_logic_vector(3 downto 0);cout:out std_logic);--定义输入输出端口end szmb1;architecture one of szmb1 issignal cq1:std_logic_vector(3 downto 0);signal cout1:std_logic;--用于计数beginprocess(clk,rst)beginif rst='1' then cq1<="0000";cout1<='0';--复位清零elsif clk'event and clk='1' thenif cq1<"0101" then cq1<=cq1+1;cout1<='0';--若有上升沿,且cq1小于5的话,本身加一,计数为零else cq1<="0000";cout1<='1';--否则的话,cq1为零,计数进位加一end if;end if;end process;cq<=cq1;cout<=cout1;end one;3.5、锁存模块的设计用来实现计数的锁存,功能实现就是实现计数的暂停。
模块图程序代码:library ieee;use ieee.std_logic_1164.all;entity scq isport(gate:in std_logic;d:in std_logic_vector(23 downto 0);data:out std_logic_vector(23 downto 0));--定义输入输出端口end;architecture two of scq issignal data1:std_logic_vector(23 downto 0);beginprocess(gate,d)beginif gate='1' thendata1<=d; --若门信号有脉冲,则门打开,d信号赋给data1end if;end process;data<=data1;end two;3.6、数码管显示模块的设计用数码管来精确显示计数结果。
模块图程序代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_Arith.ALL;USE IEEE.STD_LOGIC_Unsigned.ALL;ENTITY szmb4 ISPORT(clk_1: IN STD_LOGIC;data: IN STD_LOGIC_VECTOR(23 DOWNTO 0);dig: OUT STD_LOGIC_VECTOR(7 DOWNTO 0);seg: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));--定义输入输出端口END ENTITY;ARCHITECTURE one OF szmb4 ISSIGNAL seg_r: STD_LOGIC_VECTOR(7 DOWNTO 0);--用于缓存对当前BCD 码译码的结果SIGNAL dig_r: STD_LOGIC_VECTOR(7 DOWNTO 0); --用于缓存位码信息SIGNAL disp_dat: STD_LOGIC_VECTOR(3 DOWNTO 0); --缓存待显示的BCD码SIGNAL count: STD_LOGIC_VECTOR(2 DOWNTO 0); --用于计数BEGINdig<=dig_r;seg<=seg_r;PROCESS(clk_1)BEGINIF RISING_EDGE(clk_1) THENcount<=count+1; --上升沿来时,计数加一END IF;END PROCESS;PROCESS(clk_1)BEGINIF RISING_EDGE(clk_1) THENCASE count IS--WHEN "000"=> dig_r<="11111111";--WHEN "001"=> dig_r<="11111111"; --两位数码管不显示WHEN "000"=> dig_r<="11011111";disp_dat<=data(23 DOWNTO 20);--对应10min单位的一位数码管WHEN "001"=> dig_r<="11101111";disp_dat<=data(19 DOWNTO 16); --对应1min单位的一位数码管WHEN "010"=> dig_r<="11110111";disp_dat<=data(15 DOWNTO 12);--对应10s单位的一位数码管WHEN "011"=> dig_r<="11111011";disp_dat<=data(11 DOWNTO 8);-- 对应1s单位的一位数码管WHEN "100"=> dig_r<="11111101";disp_dat<=data(7 DOWNTO 4);-- 对应0.1s单位的一位数码管WHEN "101"=> dig_r<="11111110";disp_dat<=data(3 DOWNTO 0); --对应0.01s单位的一位数码管when others =>null;--其他不显示END CASE;END IF;END PROCESS;PROCESS(disp_dat)BEGINCASE disp_dat ISWHEN X"0"=> seg_r<=X"c0";WHEN X"1"=> seg_r<=X"f9";WHEN X"2"=> seg_r<=X"a4";WHEN X"3"=> seg_r<=X"b0";WHEN X"4"=> seg_r<=X"99";WHEN X"5"=> seg_r<=X"92";WHEN X"6"=> seg_r<=X"82";WHEN X"7"=> seg_r<=X"f8";WHEN X"8"=> seg_r<=X"80";WHEN X"9"=> seg_r<=X"90";WHEN X"a"=> seg_r<=X"88";WHEN X"b"=> seg_r<=X"83";WHEN X"c"=> seg_r<=X"c6";WHEN X"d"=> seg_r<=X"a1";WHEN X"e"=> seg_r<=X"86";WHEN X"f"=> seg_r<=X"8e";END CASE;--输入相应的BCD码,则相应的输出的数END PROCESS;END one;4.整体设计及分析4.1.整体设计说明首先是两个分频模块同时分频,系统输入3MHz和48MHz的时钟信号脉冲,两个分频模块分别产生100Hz和1KHz的分频信号,1KHz的分频信号进入到数码管显示模块。