实验一1位全加器电路设计
一位全加器的设计
![一位全加器的设计](https://img.taocdn.com/s3/m/b2a197e30975f46527d3e141.png)
一位全加器的设计一.实验目的1.掌握原理图输入设计。
2.利用一位半加器实现一位全加器。
二.实验原理1.一位半加器输入a,b;输出co,so;其中co为进位输出;so为和的输出;真值表如下图所示。
2.一位全加器真值表如下图所示。
三.实验内容1.以原理图输入作为设计输入,设计半加器。
2.利用设计好的半加器,实现全加器的设计。
3.通过仿真,观察设计的正确性。
4.仿真完成后,将原理图设计转换为VHDL文件。
四.设计提示仔细阅读真值表,思考如何将半加器设计为全加器。
五.实验报告要求1.写出原理图设计。
(半加器电路原理图)(全加器原理图)2.分析设计过程。
用两个半加器构成全加器。
3.记录仿真波形,保存生成的元件以及RTL,将原理图文件转变为VHDL文件。
(全加器仿真图)(符号元件)(全加器RTL)(全加器VHDL文件)LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY work;ENTITY quan2 ISPORT(a : IN STD_LOGIC;b : IN STD_LOGIC;c : IN STD_LOGIC;ci : OUT STD_LOGIC;si : OUT STD_LOGIC);END quan2;ARCHITECTURE bdf_type OF quan2 ISCOMPONENT quanPORT(a : IN STD_LOGIC;b : IN STD_LOGIC;co : OUT STD_LOGIC;so : OUT STD_LOGIC);END COMPONENT;SIGNAL SYNTHESIZED_WIRE_0 : STD_LOGIC;SIGNAL SYNTHESIZED_WIRE_1 : STD_LOGIC;SIGNAL SYNTHESIZED_WIRE_2 : STD_LOGIC;BEGINb2v_inst : quanPORT MAP(a => a,b => b,co => SYNTHESIZED_WIRE_2,so => SYNTHESIZED_WIRE_0);b2v_inst1 : quanPORT MAP(a => SYNTHESIZED_WIRE_0,b => c,co => SYNTHESIZED_WIRE_1,so => si);ci <= SYNTHESIZED_WIRE_1 OR SYNTHESIZED_WIRE_2;END bdf_type;4.书写实验报告时要结构合理,层次分明,在分析描述的时候,注意语言的流畅。
实验一 1位全加器的设计(修改后)
![实验一 1位全加器的设计(修改后)](https://img.taocdn.com/s3/m/208a244a767f5acfa1c7cda5.png)
• 步骤三:编辑全加器的原理图: 步骤三:编辑全加器的原理图:
• 由file->new,打开原理图文件Block Diaoram/Schematic File,并存盘为full_adder.bdf
左键双击原理图编辑窗空白处,弹出如下窗口
• 调入 1)半加器:half_adder, 2)二输入或门:2or, 3)输入,输出引脚
实验一 1位全加器的设计 位全加器的设计
一位全加器的原理分析
• 一位全加器可由两个一位半加器与一个或 门构成,其原理图如下图。
该设计利用层次结构描述法, • 首先设计半加器电路,将其打包为半加器 模块; • 然后在顶层调用半加器模块 半加器模块和ALTERA提供 半加器模块 的二输入或门 输入或门组成全加器电路; 输入或门 • 最后将全加器电路编译下载到实验箱,
输入是 两个加数:ain,bin, 一个进位:cin 这三个输入数据是1位(1bit),可由DE2的 SW0,SW1,SW2提供 为了显示更加清楚,可以将ain,bin,cin的输出引 出到DE2上的红色发光二极管显示,可选用 LEDR0,LEDR1,LEDR2. 输出是: 输出是: 和:sum 进位:cout 输出可由DE2的绿色发光二极管显示,可选用 LEDG0,LEDG1
• 步骤一:建立full_adder的工程 (project)
新建立full_adder工程(project)
设置project相关参数
• 设置project放置的位置及其名称,随后按 Next继续
• 添加文件到工程(project)中,在无相关文件需要 添加的情况下,按Next继续
• 选择FPGA目标器件,根据DE2的平台情况,选 择cyclone II系列的EP2C35F672C6,继续
一位全加器的实验报告
![一位全加器的实验报告](https://img.taocdn.com/s3/m/9971f6348f9951e79b89680203d8ce2f00666514.png)
一位全加器的实验报告实验报告:全加器的实验摘要:本实验旨在通过实际操作,了解全加器的原理和工作方式。
通过搭建全加器电路,观察其输入输出关系,验证全加器的功能和性能。
实验结果表明,全加器能够正确地实现三个输入位的加法运算,并且输出结果符合预期。
引言:全加器是数字电路中常用的逻辑电路之一,用于实现多位数的加法运算。
它能够接受三个输入位(A、B、Cin),并输出两个输出位(Sum、Cout)。
全加器的设计和实现对于理解数字电路和计算机原理具有重要意义。
实验步骤:1. 准备实验所需的电子元件和工具,包括逻辑门、电阻、LED灯等。
2. 根据全加器的逻辑电路图,搭建实验电路。
3. 将输入位(A、B、Cin)和电源连接,观察LED灯的亮灭情况。
4. 调整输入位的数值,记录LED灯的亮灭情况。
5. 分析实验结果,验证全加器的功能和性能。
实验结果:经过实验操作和数据记录,我们得出以下结论:1. 当输入位(A、B、Cin)为000时,LED灯均熄灭。
2. 当输入位(A、B、Cin)为001时,LED灯中的某些亮起,表明输出位(Sum、Cout)的数值。
3. 当输入位(A、B、Cin)为111时,LED灯均亮起。
结论:通过本次实验,我们成功地搭建了全加器电路,并验证了其正确的工作方式。
全加器能够实现三个输入位的加法运算,并输出符合预期的结果。
这对于我们理解数字电路和计算机原理具有重要的意义。
展望:在今后的学习和实践中,我们将进一步深入研究数字电路和逻辑电路的原理,不断提高自己的实验操作能力和理论水平,为将来的科研和工程实践做好充分的准备。
一位全加器电路版图设计
![一位全加器电路版图设计](https://img.taocdn.com/s3/m/93719b990242a8956bece4b8.png)
目录1 绪论 (1)1.1 设计背景 (1)1.2 设计目标 (1)2一位全加器电路原理图编辑 (2)2.1 一位全加器电路结构 (2)2.2 一位全加器电路仿真分析波形 (3)2.3 一位全加器电路的版图绘制 (3)2.4一位全加器版图电路仿真并分析波形 (3)2.5 LVS检查匹配 (3)总结 (3)参考文献 (3)附录一:电路原理图网表 (3)附录二:版图网表 (3)1 绪论1.1 设计背景Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。
早期的集成电路版图编辑器L-Edit在国已具有很高的知名度。
Tanner EDA Tools 也是在L-Edit的基础上建立起来的。
整个设计工具总体上可以归纳为电路设计级和版图设计级两大部分,即以S-Edit 为核心的集成电路设计、模拟、验证模块和以L-Edit为核心的集成电路版图编辑与自动布图布线模块。
Tanner软件包括S-Edit,T-Spice,L-Edit与LVS[1]。
L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。
L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。
L-Edit Pro丰富完善的功能为每个IC 设计者和生产商提供了快速、易用、精确的设计系统。
1.2 设计目标1.用tanner软件中的原理图编辑器S-Edit编辑一位全加器电路原理图2.用tanner软件中的TSpice对一位全加器的电路进行仿真并分析波形3.用tanner软件中的版图编辑器L-Edit进行一位全加器电路的版图绘制,并进行DRC验证4.用tanner软件中的TSpice对一位全加器的版图进行仿真并分析波形5.用tanner软件的layout-Edit中的lvs功能对一位全加器进行LVS检验观察原理图与版图的匹配程度2一位全加器电路原理图编辑2.1 一位全加器电路结构一位全加器电路是数据运算和数字信号处理中应用最广泛的组合模块之一。
实验一1 1位全加器的设计
![实验一1 1位全加器的设计](https://img.taocdn.com/s3/m/812d90ca76eeaeaad1f330ec.png)
实验一1位全加器的设计一、实验目的1.熟悉ISE软件的使用;2.熟悉下载平台的使用;3.掌握利用层次结构描述法设计电路。
二、实验原理及说明由数字电路知识可知,一位全加器可由两个一位半加器与一个或门构成,其原理图如图1所示。
该设计利用层次结构描述法,首先设计半加器电路,将其打包为半加器模块;然后在顶层调用半加器模块组成全加器电路;最后将全加器电路编译下载到实验板,其中a,b,cin 信号可采用实验箱上SW0,SW1,SW2键作为输入,输出sum,cout信号采用发光二极管LED3,LED2来显示。
图1 全加器原理图三、实验步骤1.在ISE软件下创建一工程,工程名为full_adder,工程路径在E盘,或DATA盘,并以学号为文件夹,注意不要有中文路径,注意:不可将工程放到默认的软件安装目录中。
芯片名为Spartan3E系列的XC3S500E-PQG2082.新建Verilog HDL文件,首先设计半加器,输入如下源程序;module half_adder(a,b,s,co);input a,b;output s,co;wire s,co;assign co=a & b;assign s=a ^ b;endmodule3.保存半加器程序为half_adder.v,通过HDL Bench画仿真波形,获得仿真用激励文件,随后进行功能仿真、时序仿真,验证设计的正确性,观察两种仿真波形的差异。
4.在Design窗口中,选择Design Utilities→Create Schematic Symbol创建半加器模块;5.新建一原理图(Schematic)文件,在原理图中调用两个半加器模块、一个或门模块,按照图1所示连接电路,并连接输入、输出引脚。
完成后另保存full_adder.sch。
6.对设计进行综合,如出现错误请按照错误提示进行修改。
7.HDL Bench画仿真波形,获得仿真用激励文件,分别进行功能与时序仿真,验证全加器的逻辑功能,观察两类波形的差异。
实验一:用原理图设计全加器和计数译码显示电路
![实验一:用原理图设计全加器和计数译码显示电路](https://img.taocdn.com/s3/m/d5f490b269dc5022aaea0015.png)
实验一(1):用原理图输入法设计一位全加器
实验一(2):用原理图输入法设计计数器(74160)和译码器(7448),顶层用原理图设计
实验目的:
(1)熟悉应用QuartusII编译图形输入;
(2)掌握利用QuartusII对图形输入的仿真;
(3)掌握用图形设计法基本逻辑电路。
二、实验内容:
设计并调试好一个一位二进制全加器及一个计数译码显示器,并用EL-EDA-V型EDA实验开发系统进行系统仿真。
设计一个10计数器用7448及74160设计计数译码显示电路。
三、实验条件:
(1)电脑;
(2)开发软件QuartusII8.1;
(3)设备:EL—EDA—V型
EDA实验开发系统;
(4)拟用芯片:ACEX1K
EP1K100QC208-3;
四、实验设计:
1、(1)异或门与二输入端与非门构成二进制全加器逻辑电路图:
(2)仿真波形:
其封装后:
(1)仿真波形:
(1)显示电路图:
其封装后:
(2)仿真波形:
4、(1)计数译码显示电路结构图:
(2)仿真波形:
5、管脚锁定:
五、设计处理
(1)输入底层设计文本和顶层电路
(2)编译
(3)仿真
(4)选择器件、锁定引脚、再次编译
(5)硬件测试
六、实验结果及总结:
实验过程中,在执行图形输入设计计数译码显示电路的时候,出现ERROR 其原因为将74160的输入端接在高电平上,排除方法为,将高电平改成接地。
在实验中,特别是图形输入设计中,应该先了解芯片的功能,再对芯片进行输入,输出设计,这样才能减少错误的出现。
FPGA一位全加器设计实验报告
![FPGA一位全加器设计实验报告](https://img.taocdn.com/s3/m/7124a4e148649b6648d7c1c708a1284ac8500583.png)
FPGA一位全加器设计实验报告
本实验的目的是学习使用FPGA设计一个全加器,通过实践掌握FPGA硬件描述语言和数字电路设计的一些基础知识和技能。
一、实验背景
数字逻辑电路是计算机硬件的基础,而硬件描述语言是数字电路设计的重要手段。
FPGA是可编程逻辑器件,它可以根据用户的需求进行编程,实现不同的数电逻辑电路。
全加器是组成加法器的重要单元,也是我们学习数字逻辑的重要基础。
二、实验设计
本实验我们将采用Verilog硬件描述语言来设计一个全加器。
代码如下:
module full_add(
input A,B,Cin,
output S,Cout
);
wire w1,w2,w3;
xor(S,w1,A^B);//A异或B
xor(Cout,w2,A&Cin);//A与Cin之后异或
xor(w3,B&Cin,A&Cin);//B与Cin之后异或
xor(Cout,Cout,w3);//再次异或
endmodule
三、测试结果
我们使用Quartus II软件进行仿真和综合。
通过对代码的仿真和波形分析,我们发现该全加器符合设计要求,并且可以正确地输出结果。
四、实验总结
本实验我们学习了FPGA硬件描述语言,掌握了数字电路设计的一些基础知识和技能。
通过设计全加器,我们更深入地理解了数字逻辑电路的原理和应用。
希望在今后的学习中,能够继续深入研究数字电路的知识,为我们掌握计算机硬件设计打下坚实的基础。
一位全加器的实验报告
![一位全加器的实验报告](https://img.taocdn.com/s3/m/72591c5a11a6f524ccbff121dd36a32d7375c7a4.png)
一位全加器的实验报告一位全加器的实验报告摘要:本实验旨在通过搭建一位全加器电路,探究数字电路中的加法运算原理。
通过实验,我们成功验证了全加器的功能,并观察到了其在二进制加法中的作用。
实验结果表明,全加器是一种重要的数字电路元件,能够实现多位二进制数的相加运算。
引言:全加器是一种常见的数字电路元件,用于实现二进制数的相加运算。
它能够处理两个输入位和一个进位位,并输出一个和位和一个进位位。
全加器的设计和实现对于数字电路的理解和应用具有重要意义。
本实验将通过搭建一位全加器电路,探究其工作原理和应用。
材料与方法:1. 逻辑门:与门、或门、异或门、非门2. 连线材料:导线、电源线3. 电源:直流电源4. 示波器:用于观察电路输出波形实验步骤:1. 按照电路图搭建一位全加器电路,包括两个输入位A和B,一个进位位Cin,一个和位S和一个进位位Cout。
2. 将电源线连接至电路,确保电路正常供电。
3. 分别将输入位A和B的电平信号输入到与门和异或门中,将进位位Cin的电平信号输入到与门中。
4. 将与门和异或门的输出信号输入到或门中,得到和位S的输出信号。
5. 将与门的输出信号输入到与非门中,得到进位位Cout的输出信号。
6. 使用示波器观察和位S和进位位Cout的波形。
结果与讨论:通过实验观察,我们得到了一位全加器的输出波形。
当输入位A和B均为0时,和位S和进位位Cout均为0;当输入位A和B均为1时,和位S为0,进位位Cout为1;当输入位A和B中有一个为1时,和位S为1,进位位Cout为0;当输入位A和B均为1时,和位S和进位位Cout均为1。
这一结果与全加器的逻辑运算规则相符,验证了全加器电路的正确性。
全加器在二进制加法中起到了关键作用。
通过将多个全加器连接起来,我们可以实现多位二进制数的相加运算。
在实际应用中,全加器被广泛应用于计算机的算术逻辑单元(ALU)中,用于实现加法和其他运算。
结论:通过本实验,我们成功搭建了一位全加器电路,并验证了其在二进制加法中的功能。
1位全加器电路设计
![1位全加器电路设计](https://img.taocdn.com/s3/m/e683b861bdd126fff705cc1755270722192e591e.png)
1位全加器电路设计全加器是一种组合逻辑电路,用于将两个二进制数相加,并输出和及进位。
一个1位全加器包含两个输入(被加数和加数)和两个输出(和和进位)。
全加器具有一个额外的输入(进位输入)来接收来自上一位的进位。
一个1位全加器可以使用与门(AND)、异或门(XOR)和或门(OR)来实现。
设计思路如下:1.将两个输入(被加数和加数)分别与一个异或门(XOR)连接,得到一个输出(和)。
2.将两个输入(被加数和加数)分别与一个与门(AND)连接,得到一个输出(进位)。
3.将两个输入的与门(AND)的输出(进位)与进位输入进行异或运算,得到最终的进位输出。
4.将输出(和)和最终进位输出作为全加器的输出。
下面是我对1位全加器的详细设计:首先,我们需要定义输入和输出信号:输入信号:A, B, Cin(被加数,加数,进位输入)输出信号:S, Cout(和,进位输出)接下来,我们可以按照设计思路,逐步实现1位全加器:Step 1: 设计异或门(XOR)的电路将输入A和B连接到一个异或门,得到一个信号X(X=AXORB)Step 2: 设计与门(AND)的电路将输入A和B连接到一个与门,得到一个信号Y(Y=AANDB)Step 3: 设计第一个异或门(XOR)的电路将信号X和进位输入Cin连接到一个异或门,得到一个信号Z(Z = X XOR Cin)Step 4: 设计与门(AND)的电路将信号X和进位输入Cin连接到一个与门,得到一个信号CarryOut (CarryOut = X AND Cin)Step 5: 设计或门(OR)的电路将信号Y和信号CarryOut连接到一个或门,得到输出信号Cout (Cout = Y OR CarryOut)Step 6: 设计或门(OR)的电路将信号X和信号Z连接到一个或门,得到输出信号S(S=XORZ)最后,我们将输入A、B和Cin以及输出S、Cout连接到1位全加器的电路中,即可实现1位全加器。
实验一--一位全加器的原理图设计【范本模板】
![实验一--一位全加器的原理图设计【范本模板】](https://img.taocdn.com/s3/m/1130236bd4d8d15abf234e1b.png)
桂林电子科技大学实验报告2015-2016学年第二学期开课单位海洋信息工程学院适用年级、专业13级电子信息工程课程名称EDA技术与应用主讲教师覃琴实验名称一位全加器学号1316030515姓名魏春梅实验一一位全加器的原理图设计一、实验目的①掌握Quartus II原理图输入法的编辑、编译(综合)、仿真和编程下载的操作过程.②用原理图输入法设计全加器电路,并通过电路仿真和硬件验证,进一步了解全加器的功能.③熟悉EDA实训仪的使用方法。
二、实验原理考虑来自低位来的进位的加法运算称为”全加”,能实现全加运算的电路称为全加器.1位全加器的真值表如表1。
1所列,表中的A、B是两个一位二进制加数的输入端。
CI是来自低位来的进位输入端。
SO是和数输出端,CO是向高位的进位输出端。
根据真值表写出电路输出与输入之间的逻辑关系表达式为:A B CI SO CO三、实验设备①EDA实训仪1台.②计算机1台(装有Quartus II软件)。
四、实验内容在Quartus II软件中,采用原理图输入法设计1位的全加器电路,编辑、编译(综合)、仿真,引脚锁定,并下载到EDA实训仪中进行验证。
注:用EDA实训仪上的拨动开关S1、S2、SO分别作为加数A、加数B、低位进位输入端CI,用发光二极管L1、L0分别作为和输出端SO、仅为输出端CO。
五、实验预习要求①查阅资料,复习有关全加器的内容,并认真阅读实验指导书,分析、掌握实验原理.②预习理论课本有关Quartus II软件的使用方法,并简要地写出Quartus II软件的操作步骤。
③复习数字逻辑电路有关全加器的内容,设计1位全加器的逻辑电路图。
1、实验电路图路径:E/1316030515/adder2、实验波形仿真图路径:E/1316030515/adder3、实验结果图六、实验总结①用Quartus II软件的原理图输入法进行数字电路设计的方法及步骤。
1、建立工程项目(文件夹、工程名、芯片选择);2、编辑设计文件(元件、连线、输入输出、检查电路正确性);3、时序仿真(波形验证设计结果);4、引脚锁定(参考文件锁定输入输出引脚);5、编译下载;6、硬件调试。
一位全加器的版图设计
![一位全加器的版图设计](https://img.taocdn.com/s3/m/7611c6d5d15abe23482f4d9b.png)
一位全加器的版图设计暴鑫-1152613一. 实验名称一位全加器的版图设计二. 实验目的与内容绘制电路图:理解instance 、电路的层次结构、CDF 参数、sheet 等概念;掌握从电路图抽取网表后用于Hspice 仿真的方法;理解电路设计的概念;对1位全加器进行电路设计与仿真;进一步掌握Virtuoso 软件使用和版图设计技巧。
进行一位加法器的版图设计。
进一步掌握使用Dracula 进行DRC 、LVS 的方法;完成一位全加器版图验证。
三. 实验相关知识1. 一位全加器一位全加器是计算A 、B 、Cin (进位信号输入)三个二进制数相加的结果,得出sum (和)、cout (进位输出)2. 反相器是数字逻辑中实现逻辑非的逻辑门 反相器的版图如下 i⊕⊕=A =BC i ABC i ABC i ABC i+++C o AB BC i AC i++=AB Cout SumCin Full adder四.实验步骤1.完成了一位加法器电路的电路设计、电路图输入、电路仿真。
2.在版图设计阶段,就是将完成的电路的版图绘制完成。
3.一位全加器版图的DRC、LVS检查。
五.实验结果版图做出来是这个样子的然后进行验证后出现了很多错误改了很久还是有错误,最后就放弃掉了TAT还是对版图设计规则的不熟悉,不熟练通过Hspice仿真得到反相器的输入输出波形:一位全加器的电路图:用Hspice仿真上述电路得到的结果五.实验体会这个实验做了好几周,耗时也是非常长,对这个实验实在是印象相当深刻。
刚开始的时候自己画版图,花了半天也就把反相器的画出来了,然后发现二选一和反相器在库里面都是有的。
于是就放弃了自己创作,用库里面的原件去画,三个二选一,三个反相器。
看了月勇的布局以后就模仿他的做了,基本我俩的版图是一样的,因为后来我拷到u盘中的文件坏了,用了他的半成品进行版图最后的链接,但是验证时候除了许多问题,大部分都是尺寸问题,有的会改,但是有的地方就不知道该怎么下手,一点头绪都没有。
实验1 1位全加器设计
![实验1 1位全加器设计](https://img.taocdn.com/s3/m/57e6b1788e9951e79b8927a6.png)
实验1 一位全加器设计【实验目的】1.掌握数字电路的两种设计方法2.掌握在Cadence中绘制原理图的方法3.掌握芯片外围特性与实现硬件电路4.掌握Verilog HDL设计电路的方法。
【实验内容】1.设计1位全加器2.绘制1位全加器原理图3.在面包板上实现1位全加器设计4.用Verilog HDL行为描述法设计实现1位全加器并仿真【实验器件】1.异或门电路74HC86一片,内含四个异或门,异或门的引脚封装图与内部原理如图1-1所示。
图1-1 异或门74HC86的内部原理图与芯片封装图2.与门电路芯片74HC08一片,内含四个与门,与门的引脚封装图与内部原理如图1-2所示。
图1-2与门74HC08的内部原理图与芯片封装图3.或门电路芯片74HC32一片,内含四个或门,或门的引脚封装图与内部原理如图1-3所示。
图1-3或门74HC32的内部原理图与芯片封装图4.3个1k的电阻和两个发光二极管,一个8路开关,5v电源,面包板一块,导线若干条。
【实验步骤】1.设计1位全加器1)设1位全加器的输入为被加数为A,加数B,低位进位Cin;输出为本位和Sum,对高位的进位为Cout。
2)根据1位加法器的运算{Cout,Sum}=A+B+Cin列真值表如表吗-1所示。
表1-1 1位加法器真值表3)根据真值表列出逻辑表达式CinBACinBACinBACinBABACinABBAABCinCinBACinBACinBASum⊕⊕=⊕+⊕=+++=+++=)()()()(ABCinBAABCinCinABCinBABCinACout+⊕=+++=)(4)手动绘制该原理图,为电路加上开关控制数据输入,用发光二极管显示输出,电路图如图1-4所示。
图1-4 1位全加器原理图2.在实验板上连接实现该电路并分析电路元件构成3.在protel软件中绘制原理图1)绘制元件符号2)绘制原理图4. .在protel软件中绘制pcb1)封装绘制2)pcb绘制。
实验一 1位二进制全加器的设计
![实验一 1位二进制全加器的设计](https://img.taocdn.com/s3/m/2f92c26f011ca300a6c390ad.png)
实验一基于原理图输入法的1位二进制全加器的设计一、实验目的1、学习、掌握QuartusⅡ开发平台的基本使用。
2、学习基于原理图输入设计法设计数字电路的方法,能用原理图输入设计法设计1位二进制半加器、1位二进制全加器。
3、学习EDA-V型实验系统的基本使用方法。
二、实验内容1、根据1位二进制半加器、1位二进制全加器的真值表,设计并画出1位二进制半加器的原理框图,由半加器及门电路设计并画出1位二进制全加器的原理框图(最终设计的是1位二进制全加器)。
2、用QuartusⅡ原理图输入输入法输入1位二进制半加器的原理框图,并进行编译。
如有输入错误,修改后再进行编译。
4、根据1位二进制半加器的工作原理,选择输入合适的输入信号和波形及其输出信号,进行仿真,得到器件的输入与输出波形,验证设计是否正确。
5、创建1位二进制半加器的的元件图形符号。
6、用QuartusⅡ原理图输入输入法输入1位二进制半加器的原理框图(要求用半加器及门电路设计),并进行编译,仿真。
7、确定实验箱电源关闭的情况下,连接好下载线,然后打开实验箱电源,对器件进行编程下载。
8、编程下载成功后,关闭实验箱电源,拆除下载线,按器件引脚设定及功能要求,连接好各测试线,进行硬件测试验证。
三、实验预习要求1、学习、掌握QuartusⅡ的基本使用,学习本EDA-V实验开发系统。
2、根据1位二进制半加器、1位二进制全加器的真值表,设计并画出1位二进制半加器的原理框图,由半加器及门电路设计并画出1位二进制全加器的原理框图。
3、根据1位二进制半加器、1位二进制全加器的工作原理,设计并画出它们的输入、输出的理论工作波形。
4、初步制定全加器的引脚锁定。
四、实验要求1、实验原理中详细写出1位二进制半加器、1位二进制全加器的设计过程,及它们的输入、输出的理论工作波形。
2、根据实验内容,详细写出实验的各个步骤,方法。
3、记录实验现象或波形,并与理论值比较、分析。
(如仿真波形与理论工作波形的比较分析,硬件测试与理论真值表的比较分析)。
一位全加器_可编程逻辑器件VHDL实验报告
![一位全加器_可编程逻辑器件VHDL实验报告](https://img.taocdn.com/s3/m/9540d75e53d380eb6294dd88d0d233d4b14e3f27.png)
1.一位全加器实验报告一、实验目的要求学习计数器的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。
设计程序独立完成全加器的仿真。
全加器由两个半加器组合而成,原理类似。
半加器不考虑低位进位,但有高位进位;全加器要考虑低位的进位且该进位和求和的二进制相加,可能获得更高的进位。
二、设计方法与原理图图1是一个一位二进制全加器电路图,由图1所示,由两个半加器和一个或门构成一个一位二进制全加器;ain,bin为全加器的输入端,cin为全加器的低位进位,sum是全加器的全加和,cout是全加器的全加进位端;从而实现一位二进制全加器。
(图1)一位二进制全加器原理图三、实验内容按照教材上的步骤,在max plus II上进行编辑、编译、综合、适配、仿真。
说明例中各语句的作用,详细描述示例的功能特点,给出其所有信号的时序仿真波形。
四、源程序library ieee;use ieee.std_logic_1164.all;entity full_adder isport(a,b,cin:in std_logic;cout,sum:out std_logic);end entity full_adder;architecture fd1 of full_adder iscomponent h_adderport(a,b:in std_logic;co,so:out std_logic);end component;component or2aport(a,b:in std_logic;c:out std_logic);end component;signal d,e,f:std_logic;beginu1:h_adder port map(a=>ain,b=>bin,co=>d,so=>e); u2:h_adder port map(a=>e,b=>cin,co=>f,so=>sum); u3:or2a port map(a=>d,b=>f,c=>cout);end fd1;五过程性截图六、仿真结果(图2)一位二进制全加器仿真结果七、分析结果与总结由图2,本实验的目标已达成,及通过编写VHDL语言实现一个一位二进制全加器。
实验一一位二进制全加器设计实验
![实验一一位二进制全加器设计实验](https://img.taocdn.com/s3/m/3a222ce927284b73f242509a.png)
大学实验报告学生: 学 号: 专业班级: 中兴101实验类型:■ 验证 □ 综合 □设计 □ 创新 实验日期: 2012 9 28 实验成绩:实验一 一位二进制全加器设计实验一.实验目的(1)掌握Quartus II 的VHDL 文本设计和原理图输入方法设计全过程; (2)熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果; (3) 熟悉设备和软件,掌握实验操作。
二.实验容与要求(1)在利用VHDL 编辑程序实现半加器和或门,再利用原理图连接半加器和或门完成全加器的设计,熟悉层次设计概念;(2)给出此项设计的仿真波形;(3)参照实验板1K100的引脚号,选定和锁定引脚,编程下载,进行硬件测试。
三.设计思路一个1位全加器可以用两个1位半加器及一个或门连接而成。
而一个1位半加器可由基本门电路组成。
(1) 半加器设计原理能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器。
或:只考虑两个一位二进制数的相加,而不考虑来自低位进位数的运算电路,称为半加器。
图1为半加器原理图。
其中:a 、b 分别为被加数与加数,作为电路的输入端;so 为两数相加产生的本位和,它和两数相加产生的向高位的进位co 一起作为电路的输出。
半加器的真值表为表1 半加器真值表absoco0 0 0 0 0 1 1 0 1 0 1 0 111由真值表可分别写出和数so ,进位数co 的逻辑函数表达式为:b a b a b a so ⊕=+=--(1)ab co = (2)图1半加器原理图(2) 全加器设计原理除本位两个数相加外,还要加上从低位来的进位数,称为全加器。
图2全加器原理图。
全加器的真值表如下:表2全加器真值表c a b co so0 0 0 0 00 0 1 0 10 1 0 0 10 1 1 1 01 0 0 0 11 0 1 1 01 1 0 1 01 1 1 1 1其中a为加数,b为加数,c为低位向本位的进位,co为本位向高位的进位,so为本位和。
数字电路实验报告-组合逻辑电路的设计:一位全加器
![数字电路实验报告-组合逻辑电路的设计:一位全加器](https://img.taocdn.com/s3/m/7d913730d4d8d15abf234e41.png)
Si
Ci
0
0
0
0
0
0
0
1
1
0
0
1
0
1
0
0
1
1
0
1
1
0
0
1
0
1
0
1
0
1
1
1
0
0
1
1
1
1
1
1
描述
一位全加器的表达式如下:
Si=Ai⊕Bi⊕Ci-1
实验仪器
1.电子技术综合实验箱
2.芯片74LS86、74LS08、74LS32
实验内容及步骤
各芯片的管脚图如下图所示:
一位全加器逻辑电路图如下所示:
1.按上图连线
电学实验报告模板
电学虚拟仿真实验室
实验名称
组合逻辑电路的设计:一位全加器
实验目的
1.学习组合逻辑电路的设计方法
2.掌握组合逻辑电路的调试方法
实验原理
真值表
一位全加器的真值表如下图,其中Ai为被加数,Bi为加数,相邻低位来的进位数为Ci-1,输出本位和为Si。向相邻高位进位数为Ci
输入
输出
Ci-1
Ai
2.测试其逻辑功能,并记录数据
实验结果及分析
实验数据:
Ci-1
Ai
Bi
Si
Ci
0
0
0
0
0
0
0
1
1
0
0
1
0
1
0
0
1
1
0
1
10010 Nhomakorabea1
0
1
0
1
实验报告01-1位加法器
![实验报告01-1位加法器](https://img.taocdn.com/s3/m/6fcbe6c526fff705cc170af8.png)
实 验 __一__
【实验名称】
1位加法器
【目的与要求】
1. 掌握1位全加器的设计
2. 学会1位加法器的扩展
【实验内容】
1. 设计1位全加器
2. 将1位全加器扩展为4位全加器
3. 使4位的全加器能做加减法运算
【操作步骤】
1. 1位全加器的设计
(1) 写出1位全加器的真值表 (2) 根据真值表写出表达式并化简
(3) 画出逻辑电路 (4) 用quartusII 进行
功能仿真,检验逻辑电路是否正确,将仿真波形截图并粘贴于此 (5) 如果电路设计正确,将该电路进行封装以用于下一个环节
2.将1位全加器扩展为4位全加器
(1)用1位全加器扩展为4位的全加器,画出电路图
(2)分别用两个4位补码的正数和负数验证加法器的正确性(注意这两个数之和必须在4位补码的数的范围内,这两个数包括符号在内共
4位),用quartusII进行功能仿真并对仿真结果进行截图。
-5+3=-2:1011+0011=1110
3.将4位的全加器改进为可进行4位加法和减法的运算器
(1)在4位加法器的基础上,对电路进行修改,使该电路不仅能进行加法运算而且还能进行减法运算。
画出该电路
(2)分别用两个4位补码的正数和负数验证该电路的正确性(注意两个数之和必须在4位补码的数的范围内),用quartusII进行功能仿真
并对仿真结果进行截图。
3-5=-2: 0011 1 0101 1110
3+(-5)=-2 : 0011 0 1011 1110
【附录】。
EXP01实验一 一位全加器设计
![EXP01实验一 一位全加器设计](https://img.taocdn.com/s3/m/63d4e21052d380eb62946dc4.png)
实验一一位全加器电路设计实验目的:1.熟悉EDA软件开发工具(MAX+plus II)的基本操作;2.熟悉KHF-4型CPLD/FPGA实验箱的板上资源分布。
3. 以原理图方式设计一位全加器,进行软件仿真、下载和硬件测试。
实验设备:微型计算机一台、KHF-4型实验箱一个实验原理:全加器原理图和真值表分别如图1和表1所示:图1. 半加器原理图表1. 半加器真值表全加器原理图和真值表分别如图2和表2所示:图2. 全加器原理图表2. 全加器真值表实验步骤:1)打开MAX+plus II设计软件。
2)新建图形编辑文件(File/New/Graphic Edit file),在文件空白处双击鼠标左键(或选择菜单Symbol/Enter Symbol)打开添加符号对话框(Enter Symbol),在“Symbol Libraries”框中双击选择“../maxplus2/max2lib/prim”库,在Symbol Files添加半加器原理图中各元件、输入(input)和输出(output)管脚,修改管脚名称后完成半加器原理图的绘制如图1;保存文件到具体设计目下。
图3.新建文件、添加符号和保存文件3)将半加器文件设为顶层文件(File/Project/Set Project to Current File),打开编译器(MAX+plus II/Complier)进行编译综合。
图4.设为顶层和编译4)创建半加器符号(File/Creat Default Symble)。
5)新建图形编辑文件(File/New/Graphic Edit file),在文件空白处双击鼠标左键打开添加符号对话框(Enter Symbol),从“File Symbol”框中调用半加器符号,完成全加器原理图的绘制如图2,保存文件到具体设计目录。
6)将全加器文件设为顶层文件,打开编译器进行编译综合。
7)新建波形编辑文件(File/New/Waveform Edit file),添加节点信号(在Name下点击鼠标右键选择Enter Nodes from SNF…)并编辑输入信号波形;保存(File/Save)波形文件(按默认文件名点击OK保存)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验一1位全加器电路的设计
一、实验目的
1、学会利用Quartus Ⅱ软件的原理图输入方法设计简单的逻辑电路;
2、熟悉利用Quartus Ⅱ软件对设计电路进行仿真的方法;
3、理解层次化的设计方法。
二、实验内容
1、用原理图输入方法设计完成一个半加器电路。
并进行编译与仿真。
2、设计一个由半加器构成1位全加器的原理图电路,并进行编译与仿真。
3、设计一个由1位全加器构成4位加法器的原理图电路,并进行编译与仿真。
三、实验步骤
1. 使用Quartus建立工程项目
从【开始】>>【程序】>>【ALtera】>>【】打开Quartus软件,界面如图1-1示。
图1-1 Quartus软件界面
在图1-1中从【File】>>【New Project Wizard...】新建工程项目,出现新建项目向导New Project Wizard 对话框如图1-2所示。
该对话框说明新建工程应该完成的工作。
在图1-2中点击NEXT进入新建项目目录、项目名称和顶层实体对话框,如图1-3 所示,顶层实体名与项目名可以不同,也可以不同。
输入项目目录如E:\0512301\ first、工程项目名称和顶层实体名同为fadder。
图1-2 新建工程向导说明对话框
图1-3 新建工程目录、项目名、顶层实体名对话框
接着点击NEXT进入新建添加文件对话框如图1-4所示。
这里是新建工程,暂无输入文件,直接点击NEXT进入器件选择对话框如图1-5所示。
这里选择Cyclone 系列的EP1C6Q240C8。
图1-4 新建添加文件对话框
图1-5器件选择对话框
点击NEXT进入添加第三方EDA开发工具对话框如图1-6所示。
图1-6 添加第三方EDA开发工具对话框
本实验只利用Quartus集成环境开发,不使用其它EDA开发工具,NEXT 进入工程信息报告对话框如图1-7所示。
点击Finish完成新建工程项目的建立如图1-8示。
图1-7工程信息报告对话框
图1-8工程项目建立完成界面
2、新建半加器原理图文件
在图1-8中从【File】>>【New.】打开新建文件对话框如图1-9所示。
选择Block Diaoram/Schematic File按 OK 按钮建立图形设计文件。
缺省名为如图1-10所示。
图1-9 新建文件
对话框
图1-10 新建界面
在窗口中任意处双击,弹出添加元件符号对话框图,这里先选择一个与门如图1-11所示。
图1-11 添加元件符号对话框
OK。
与门符号被附在鼠标指针上,在窗口中适当位置点击一下,放置该符
ESC键后,完成一次元件的放置,再选择与放置其它元件和引脚,双击引脚符号在弹出的对话窗口中可改变其名称。
元件和引脚放置完成后进行连线,连线时,当鼠标位于一个符号引脚上或图表模块边沿时连线工具变为十字形,移动鼠标,选择开始点,按住左键拖动鼠标至结束点放开。
从而完成半加器电路的设计,如图1-12所示。
图1-12 半加电路原理图
点击保存bdf文件,接受默认的路径和文件类型,文件名改为hadder.。
默认Add file to current project选项选中。
如图所示1-13所示,
图1-13 将bdf文件存盘对话框
3、编译综合,生成半加器模块符号
如图1-14,在Project Navigator窗口的File标签中的文件单击右键,在弹出的菜单中点击Set as Top-Level Entity,将文件设置为顶层实体。
图1-14 将文件设置为顶层实体
选择【Processing】>>【Start Compilation】或用编译快捷图标进行全程编译。
若有错误,根据信息窗口提示找出并更正错误,直至编译成功为止。
如图1-15所示。
图1-15 编译成功窗口
在图1-15窗口中,单击确定进入编译报告窗口,可查看编译报告、综合报告、适配报告、时序分析报告等。
本实验暂不分析此报告。
如图1-16,选择【File】>>【Creat/Update】>>【Creat Symbol FILes for Current
file】将设计好的半加器原理图文件生成一个模块符号文件。
4、创建全加器原理图文件并进行编译综合
从【File】>>【New.】打开新建文件对话框,选择Block Diaoram/Schematic File再新建一个全加器顶层原理图文件。
在新建原理图窗口中任意处双击,弹出添加元件符号对话框,在Project目录下,选择hadder ,窗口中出现一个大的符号,如图1-17就是半加器原理图生成的模块符号。
图1-17添加模块符号对话框
添加两个半加器模块符号,再添加一个或门和输入输出引脚,完成全加器电路的设计如图1-18所示。
图1-18 全加器电路图
点击保存,文件名为,再将置为顶层,进行全程编译,直至编译成功。
如图1-19、1-20所示。
图1-19 将置为顶层对话框
图1-20 编译成功对话框
5、建立全加器仿真文件
点击新建按钮,出现新建文件对话框如图1-21所示,选择others>>Vector Waveform File,出现仿真文件编辑界面如图1-22所示。
图1-21 新建仿真文件对话框
图1-22仿真编辑窗口
选择【Edit】>>【End time】出现设置仿真时间长度对话框如图1-23所示,如可设为10us,单击OK结束设置
图1-23 设置仿真时间长度对话框
选择【Edit】>>【Grid Size】出现设置仿真网格对话框如图1-24所示,如可设为100ns,单击OK结束设置。
图1-24设置仿真网格对话框
在图1-22中Name下方空白区域右击出现操作菜单,选择Insert Node or Bus,弹出添加节点或总线对话框如图1-25所示,
图1-25添加节点或总线对话框
选择Node Finder出现查找节点对话框如图1-26所示。
在Filter栏中选择Pins:all,在Look in栏中选择Fadder,点击List按钮。
出现节点列表选择对话框如图1-27所示。
点击中间全选按钮>>再点击OK ,所示节点被加到波形编辑窗口如图1-28所示。
图1-26 节点查找对话框
图1-27 节点列表对话框
图1-28添加节点后的波形编辑窗口
分别选择节点ain,bin,cin,利用波形编辑按钮设置节点的输入波形如图1-29所示,并保存波形文件为。
图1-29节点输入波形设置
选择【Assignments】>>【Setting】在弹出窗口的Category下单击Simultor setting,出现Simultor setting对话框,在Simultor input栏中设置仿真文件路径指向如
图1-30所示,单击OK结束设置。
图1-30仿真波形设置
选择【Processing】>>【Start simulation】或用快捷按钮进行波形仿真。
仿真成功后,生成全加器的仿真波形如图1-31所示
图1-31 全加器的仿真波形
6、引脚锁定
选择【Assignments】>>【Assignment Editor】在弹出的分配管脚对话窗口中的Category栏中Pin,在To栏中双击选择端口名称,在Location栏中输入相应的管脚,如图1-32所示。
设置完成后保存,然后关闭该对话框。
图1-32 分配管脚对话窗口
选择【Assignments】>>【Device】,在出现的Device对话框中点击Device&Pin Options,出现Device&Pin Options对话框,选择Unused Pins标签将未使用管脚设置为高阻输入,如图1-33所示。
图1-33 未使用管脚设置
7、编程下载
将文件设置为顶层,重新进行全程编译,直至编译成功。
用下载电缆将计算机与FPGA主板上JATG口连接,选择【Tools】>>【Programmer】或点击工具栏中的编程快捷按钮打开编程器窗口并自动打开配置文件,选中Program/Confiure,如图1-34所示。
单击Start按钮开始进行下载配置,直至配置成功。
8、观察实验结果
实验任务二、全加器VHDL语言的输入方法。
1、新建工程项目(同上)
2、新建半加器文本文件,并进行全程编译
从【File】>>【New.】打开新建文件对话框如图1-35所示。
选择Vhdl file
按钮建立文本设计文件。
缺省名为如图1-36所示。
输入VHDL文本文件如图1-37示,并保存名为,将文件设置为顶层,并进行编译。
方法同上。
3、新建VHDL文本文件,名为,并进行全程编译。
方法同上。
4、建立全加器仿真文件,方法同上。
5、引脚锁定与编程下载,方法同上。
6、观察实验结果
实验任务三、四位全加器的原理图输入编译仿真与编程下载。