Cadence+SILICON+DESIGN+CHAIN+EXTENDS+LOW+POWER+DESIGN+COLLABORATION

合集下载

ASIC设计cadence自动布局布线工具_图文(精)

ASIC设计cadence自动布局布线工具_图文(精)

本节将使用综合工具(Design Compiler 对一个 8位全加器逻辑综合,并产生一个门级网表;利用该网表使用自动布局布线工具(Silicon Ensemble 生成一个全加器的版图。

首先输入 8位全加器 verilog 代码:module adder8(Cout,S,A,Cin;output Cout;output [7:0]S;input [7:0]A;input [7:0]B;input Cin;reg [8:0]SUM;reg [7:0]S;reg Cout;wire [7:0]A,B;always @(Aor B or CinbeginSUM [8:0]=A+B+Cin;S =SUM [7:0];Cout =SUM [8];endendmodule打开综合工具 DC (psyn_gui&File->Read..读入代码File->Setup..设置 3个相关工艺库将带红色 *号的 3个库设置如下图 Design->CompileDesign.. 编译Schematic->NewDesign Schematic View..可以看到综合后的顶层结构通过双击 C1模块还可以看到全加器的门级结构为了后面自动布局布线的需要, 这里我们要将这个综合结果保存为 adder8_nl.v 门级网表。

在 psyn_gui-xg-t>后输入如下命令下面进行自动布局布线 (一下有路径出现的地方要特别注意打开 Silicon Ensemble (sedsm&File->Import->LEF… 导入库的转换格式注意此文件的路径!File->Import->Verilog… 导入工艺库(此库为 verilog 描述的标准单元,包含各种延时信息这里去掉后面的!继续 File->Import->Verilog… 导入网表 adder8_nl.v(此处要先删掉第一个工艺库这里要加上顶层模块名 adder8Floorplan->InitializeFloorplan…准备工作完成开始布局布线点击 Variables 将里面的 PLAN.LOWERLEFT.ORIGIN 由 FALSE 改为 TUREEdit ->Add ->Row… Area 的区域可以直接点击 Area 并在图上拖拽,并使其大小与芯片核一致File->Saveas… 保存为 fplanRoute->PlanPower… 设置电源环在 Plan Power 窗口中点击 AddRings…Place->Ios…放置输入输出Place->Cells…放置单元Place->FillerCells->AddCells…Route->RoutePower->FollowPins… 添加管脚(金属线宽设为 1.8 Route->Wroute…布线View->DisplayOptions… 检查管脚名设置 Pin 为 ONFile->Export->DEF… 命名为adder8_wrouted.def打开 icfb &再导入 DEF文件之前要确保你有如下图中的一些库文件File->Import->DEF…Enter “ tutorial ” for Library Name, “ adder8” for Cell Name, and “ autoRouted ”for View Name.打开 View 中的autoRoutedDesign->Save..Tool->Layout..将提取图转换为版图,这里需要改变几个参数 Edit->Search..点击Add Criteria然后做如下图的几个改动Apply Replace AllDesign->SaveAs..现在就可以打开 layout 了DRC… 熟悉吧! !。

清华大学cadence教程

清华大学cadence教程

操作步骤:
执行:CIW->File->Export->Stream… 弹出如下窗口:
33
版图验证工具-Dracula
CADENCE
运行目录
输出文件名 What is this?
34
版图验证工具-Dracula
CADENCE
These two items should be changed according to your design
CADENCE
Cadence设计系统介绍
清华大学微电子所
1
OUTLINE
CADENCE
Cadence 系统概述 版图设计工具-Virtuoso LE
版图验证工具-Diva
版图验证工具-Dracula
2
设计流程
CADENCE
3
版图验证
CADENCE
版图验证的必要性?
确保版图绘制满足设计规则 确保版图与实际电路图一致 确保版图没有违反电气规则 可供参数提取以便进行后模拟
Checking Limit 可以选择检查哪一部分的版图:
Full 表示查整个版图 Incremental 查自从上一次DRC检查以来,改变的版图。 by area 是指在指定区域进行DRC检查。一般版图较大时,可以分块 检查。
15
版图验证工具-DIVA
CADENCE
Switch Names
在DRC文件中,我们设置的switch在这里都会出现。这个选项可 以方便我们对版图文件进行分类检查。这在大规模的电路检查中 非常重要。
41
Dracula-DRC
CADENCE
42
Dracula-LVS
CADENCE

Assignment+1_2014_

Assignment+1_2014_

Assignment 11.Give a descriptive definition for each of the following terms.(1)Feature size,(2)Flexible block,(3)Datapath library,(4)Base array,(5)Primitive cell,(6)Prediffused array,(7)Floorplaning,(8)Placement,(9)Wire-load model,(10)Routing model.Solution:(1) Feature size:特征尺寸,指某种工艺下最小晶体管的沟道长度,约为最小晶体管长度的一半。

(2) Flexible block:可变的单元块,是CBIC中的标准单元区,包含了各种标准单元行,设计时可以改变其形状大小。

(3) Datapath library:数据通路(数据总线)库,用来编译生成数据通路逻辑的库,一般包括加法器、减法器、乘法器和简单的算术逻辑单元。

它使得数据通路的版图设计方法更快速且密度更高。

(4) Base array:基本阵列,门阵列上预先确定的晶体管图案即为基本阵列,它是由最小单元重复排列组成的。

(5) Primitive cell:基元,指的是上述基本阵列中的最小单元。

(6) Prediffused array:预扩散阵列,在基于门阵列的ASIC中,可以将已完成扩散并形成晶体管的硅圆片储备待用,所以有时把门阵列成为预扩散阵列。

(7) Floorplaning:布图规划,在芯片上排列网表的模块。

(8) Placement:布局,确定功能模块中具体单元的摆放位置。

(9) Wire-load model:连线-负载模型,为了在实际布线完成前估计出引线的寄生电容,就需要对给定大小的电路模块中线网的电容进行估算。

这常要采用查找表的方式,也成为连线-负载模型。

(10) Routing model:布线模型,它可以用来告诉自动布线工具在单元上何处进行布线,以及连接到单元的位置和类型。

SiP Design Tutorial

SiP Design Tutorial

– U3: fixed die, flip chip, 130nm analog
Technology Shortfalls
Most design tools and data models were designed to handle one design mapped onto a single technology
Consumer
Functional density [+] Time to new product [+] Performance [+] Battery powered handheld: DAP, DSC, DVD, game console, CD, Camcorder Driving new IC technologies
Sept. 12-15, 2005 Napa, California 2005 DPC
High orders of design reuse (die, die stacks, sub-sys, ..)
Note: These comments are summarized from in depth customer engagements over the last two years.
Still an expert design process Does not live up to promises Difficult to know what is right product Lack of a robust design environment Poor control over concurrent design
3DIC
M1 M2
U3
Complex 3D Structures & Technologies

cadence工具介绍

cadence工具介绍

标签:cadence工具介绍主要是cadence的常用工具:(一)System&LogicDesign&Verification1、SPW:系统仿真工具,与matlab相似,但是比其专业,用于系统建模,常用于通信系统2、Incisive:就是大家最常用的nc_verilog,nc_sim,nc_lauch,以及ABV,TBV的集合,仿真和验证功能很强大(二)Synthesis&Place&Route1、BuildGates:与DC同期推出的综合工具,但是在国内基本上没有什么市场,偶尔有几家公司用2、RTLCompliler:继BuildGates之后的一个综合工具,号称时序,面积和功耗都优于DC,但是仍然无法取代人们耳熟能详的DC3、SiliconEnsemble&PKS:硅谷早期做物理设计的工程师,几乎都用它。

是第一个布局布线工具4、FirstEncounter&SoCEncounter:继SE以后的很好的P&R工具,但是盗版太少,所以也只有大公司能用且都用,但是目前astro在国内有赶超之意5、Cetlic:噪声分析工具,权威6、Fire&Ice:分布参数提取工具,国内很多人用synopsys的StarRC7、VoltageStrom:静态功耗和动态功耗分析的很不错的工具,与s的PowerComplier相同。

8、SingnalStrom:时序分析工具,唯一一个能建库的工具9、nanoroute:很强大的布线器喔,但是不是一般人能用的到的。

我也是在cadence实习的时候爽过的,比astro快十倍不止。

(三)customICDesign1、Virtoso:版图编辑工具,没有人不知道吧,太常用了,现在还有一个公司的laker2、diva,dracula,assura:物理验证工具,用的比较普遍,但是calibre是标准,很多公司都是用其中的一个和calibre同时验证,我好可怜,现在只能用herculus(四)数模混合信号设计这部分太多了,但是一个ADE的环境基本上都能包括,不细说了,打字都打累了(五)PCBAllego最为典型了,很多大公司都用的。

Cadence工具简介

Cadence工具简介

Cadence工具简介1,逻辑设计与验证工具* 逻辑仿真工具: Cadence NC-Verilog, Verilog-XL, NCSim,Simvision Waveform Viewer* 综合工具: Cadence BuildGates* 形式验证工具: VerplexLEC2.综合布局布线工具SoC Encounter—可应用于如90nm及其以下的SOC设计;△ SE-PKS—可应用于如复杂时序收敛的IC设计;△ Fire & Ice QX and SignalStorm—可应用于3维电阻电容参数提取及延时计算;△ VoltageStorm—可应用于功耗分析;△ CeltIC—可应用于信号完整性分析。

3 system level design工具综合(Hardware Design System 2000)算法验证(SPW)△ 结构设计工具(SystemC-based simulators, CoWare, etc)△ 硬件/软件混合设计工具(Verification Platform, Seamless, etc)△ 模拟/混合信号工具(AMS, Agilent ADS, etc)4,CIC(layout & custom layout) 全定制集成电路布局设计工具△ Virtuoso Layout Editor△ Assura (Layout verification)5,AMS (analog mixed signal, RF analysis and design)模拟集成电路设计工具。

AnalogDesignEnvironment。

MixedSignal Design Environment。

Analog Modeling with Verilog-A。

Spectre Circuit Simulator6,HS-PSD(high speed PCB system design) 高速系统和板极设计工具o Concept HDL Front-to-Back Design Flow –原理图输入工具o PCB Librarian –器件建库工具o Allegro PCB Layout System – PCB板布局布线工具o Specctra AutoRoute Basics –基本自动布线器o Advanced Specctra Autorouting Techniques –高级自动布线器o SpecctraQuest Foundations –信号完整性仿真工具o Advanced SpecctraQuest Techniques –高级信号仿真工具*VerilogHDL 仿真工具 Verilog-XL*电路设计工具 Composer电路模拟工具 Analog Artist*版图设计工具 Virtuoso Layout Editor版图验证工具 Dracula 和 Diva*自动布局布线工具 Preview 和 Silicon Ensembleform:Mr Bond coms-chip expert设计任务 EDA工具功能仿真和测试 a. Cadence, NC_simb. Mentor ModelSim (调试性能比较突出)c. Synopsys VCS/VSSd. Novas Debussy (仅用于调试)逻辑综合 a. Synopsys, DCb. Cadence, BuildGatesc. Mentor, LeonardoDFT a. Mentor, DFTAdvisorb. Mentor, Fastscanc. Mentor, TestKompressd. Mentor, DFTInsighte. Mentor, MBISTArchitectf. Mentor, LBISTArchitectg. Mentor, BSDArchitecth. Mentor, Flextesti. Synopsys, DFT Complierj. Synopsys, Tetra MAXk. Synopsys, BSD Complier布局,时钟树综合和自动布线a. Cadence, Design Plannerb. Cadence, CT-Genc. Cadence, PKSd. Cadence, Silicon Ensemblee. Synopsys, Chip Architectf. Synopsys, Floorplan Managerg. Synopsys, Physical Complier & Apolloh. Synopsys, FlexRoute网表提取及RC参数提取物理验证a. Mentor, xCalibreb. Cadence, Assure RCXc. Synopsys, Star-RCXTd. Mentor, Calibree. Synopsys, Herculef. Cadence, Assure延时计算与静态时序分析a. Synopsys, Prime Timeb. Cadence, Pearlc. Mentor, SST Velocity形式验证 a. Mentor, FormalProb. Synopsys, Formalityc. Cadence, FormalCheck功能优化与分析 a. Synopsys, Power Compilerb. Synopsys, PowerMill-ACEHDLQA a. TransEDA, Verification Navigatorb. Synopsys, LEDAFPGA开发 a. Mentor, FPGAdvantageb. XILINX, ISEc. Altera, QuartusIISoC开发 a. Mentor, Seamless CVEb. Cadence, SPWc. Synopsys, Co-Centric版图设计工具 a. Cadence, Virtuosob. Mentor, IC-Stationc. 思源科技, Laker电路级仿真 a. Mentor, ELDOb. Mentor, ADMSc. Cadence, Spectre, Spectre RFd. Cadence, AMSe. Synopsys, Star-Hspice以下只是个人和本公司的评价,不一定十分全面,仅供参考。

半导体设计工具汇总

半导体设计工具汇总

半导体设计工具汇总EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

利用EDA工具,可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。

EDA常用软件EDA 工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:EWB、PSPICE、OrCAD、PCAD、Protel、ViewLogic、Mentor、Graphics、Synopsys、LSIlogic、Cadence、MicroSim等等。

按主要功能或主要应用场合,可分为电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件。

电子电路设计与仿真工具电子电路设计与仿真工具包括SPICE/PSPICE;EWB;Matlab;SystemView;MMICAD等。

下面简单介绍前三个软件。

(1)SPICE:由美国加州大学推出的电路分析仿真软件,现在用得较多的是PSPICE6.2,在同类产品中是功能最为强大的模拟和数字电路混合仿真EDA软件,它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。

无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。

(2)EWB软件:20世纪90年代初推出的电路仿真软件。

相对于其它EDA软件,它是较小巧的软件(只有16M)。

但它对模数电路的混合仿真功能却十分强大,几乎100%地仿真出真实电路的结果,并且它在桌面上提供了万用表、示波器、信号发生器、扫频仪、逻辑分析仪、数字信号发生器、逻辑转换器和电压表、电流表等仪器仪表。

它的界面直观,易学易用。

它的很多功能模仿了SPICE的设计,但分析功能比PSPICE稍少一些。

(3)文字MATLAB产品族它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整的函数集用来对图像信号处理、控制系统设计、神经网络等特殊应用进行分析和设计。

allegro_pcbsigxl_ds学习资料(可编辑)

allegro_pcbsigxl_ds学习资料(可编辑)

allegro_pcbsigxl_ds学习资料IC package andSiP designPCB designI/O bufferdesign IC designPackagedesign-in kitSilicondesign-in kitOn-target, on-timesystem interconnectInterconnectmodelsI/O bufferIPVirtual systeminterconnectmodelVerifyBuildCorrelateSpecifyExploreDesignImplementDATASHEETALLEGRO PCB SI GXLCadence? Allegro? PCB SI GXL provides a virtual prototyping environment fordesigns with signals operating in the multi-gigahertz MGH frequency range. Itoffers a completely integrated signal design and analysis solution built on top ofthe proven Allegro PCB SI environment. Its advanced technology shortens designcycle time and eliminates the need for multiple lab qualifications with fullfunctional physical prototypes.THE ALLEGRO SYSTEMINTERCONNECT DESIGNPLATFORMThe Cadence Allegro systeminterconnect design platform enablescollaborative design of high-performance interconnect across IC,package, and PCB domains. Theplatform’s unique co-designmethodology optimizes systeminterconnect?between I/O buffersand across ICs, packages, and PCBs?toeliminate hardware re-spins, decreasecosts, and reduce design cycles. Theconstraint-driven Allegro flow offersadvanced capabilities for designcapture, signal integrity, and physical implementation. With associatedsilicon design-in IP Portfolios, ICcompanies shorten new deviceadoption time and systems companiesaccelerate PCB design cycles for rapidtime to profit. Supported by theCadence Encounter? and Virtuoso?platforms, the Allegro co-designmethodology ensures effective designchain collaboration.The Allegro system interconnect design platform ALLEGRO PCB SI GXLDesigning system interconnects withsignals operating in the MGH rangerequires capabilities that quickly and accurately model each element of thesignal’s path. This is because at high frequencies the losses on a signalmount as the signal travels through different discontinuities such as vias, connectors, and different layers in one or more printed circuit boards. At gigahertz GHz frequencies, the lossin a transmission line can amount to approximately 0.25+ dB/inch, creating challenges for longer interconnects on PCB systems. Ensuring that losses in critical signals are acceptable is an important step in the design of MGH signals. To accomplish this, Allegro PCB SI GXL lets engineers perform loss budget tradeoffs quickly anditeratively using S-Parameters. It also provides a way to change the MGHsignal’s topology and view expectedloss through the system interconnect within seconds.Allegro PCB SI GXL offers engineers a highly integrated virtual prototyping environment that includes built-in productivity capabilities for MGH designs. It addresses MGH design challenges in an integratedenvironment that is easy to use and includes several advanced modules: SigXplorer topology exploration environment; high-capacity simulation, SPICE-based simulation subsystem; Allegro Constraint Manager; Allegro Model Integrity; floorplanner/editor and PCB Router; and EMControl. Technological advances?such as differential signals with embedded clocks serial links, drivers with pre- emphasis, and receivers with equalization?allow engineers to architect systems that have higher performance and throughput.However, many of the EDA solutions required to design systems such as these have not kept pace, leaving engineers forced to use disparate, stand-alone products to design systems with high-speed signals, particularly those that operate in MGH range. Allegro PCB SI GXL addresses the numerous challenges typically created as system designers work to provideultra-high bandwidth for data transferagainst shrinking market windows.Another key challenge for MGHdesigners involves ensuring thattiming and voltage margins indifferential signals used in serial linksare met. As traditional circuit simulatorsare limited to approximately 1024 bitsof custom stimulus pattern length,the effect of inter-symbol interferenceISI is not adequately modeled. Toaccurately predict the eye opening,engineers need solutions that cansimulate stimulus patterns of over onemillion bits. On a typical PC/Windowsplatform, Allegro PCB SI GXL cansimulate 10,000 bits in just secondsone million bits in an hour.BENEFITSEliminates the need for physicalprototypes for multiple qualificationsthrough advanced simulationtechniquesShortens design cycle time throughfaster tradeoffs of MGH signals usingS-Parameters and single or coupledanalytical via modelingImproves product quality, cost, andperformanceSaves time via a virtual prototypingenvironment that is seamlesslyintegrated with other Allegroplatform design productsFEATURESINTEGRATED S-PARAMETER SUPPORTIntegrated S-Parameter support enablesengineers to generate S-Parametersfrom PCB signal t opologies “Stack-upto S” and plot in SigWave quickly andeasily. Users can change topology orstack-up and do quick iterative lossbudget tradeoffs. It also allowsdesigners to concatenate multipleS-Parameters into one, simulateS-Parameters in time domain, andincorporate S-Parameters for an objectinto the topology and then generateS-Parameters for the entire topologyAdditionally, by incorporating S-Parameter support that is flexible,Allegro PCB SI GXL allows engineers toincorporate measurement-basedS-Parameter models in native Touchstoneformat. S-Parameters with otherinterconnect topologies can also beincorporated, measured, or importedAny portion of the passive interconnect can be plotted as S-Parameter in SigWavetopology explorer2MACRO MODELINGMacro modeling capabilities enableengineers to model and simulateMGH drivers and receivers faster andmore accurately?with simulationperformance improvements of 20x to400x over transistor-level simulation.VIA MODEL GENERATORUsers can quickly create accuratevia models wideband, narrowband,S-Parameter to simulate via stubeffects at MGH frequencies for singlevias, differential vias, and vias coupledwith ground/power vias.HIGH-CAPACITY, HIGH-PERFORMANCESIMULATIONThe Channel Analysis module withinAllegro PCB SI GXL addresses the needfor high-capacity simulation that canensure timing and voltage margins aremet for MGH signals. The Channel Analysis module allows users tosimulate up to 10 million bits very rapidly. On a typical PC/Windows platform, it can simulate 10,000 bits in just seconds, a million bits in an hour. Users can quickly develop meaningful configurations “tap settings” for a complex driver or receiver. To determine optimal settings, designers get a recommendation for a specific topology in seconds, saving weeks of simulation time.SIGXPLORER TOPOLOGYEXPLORATION ENVIRONMENTSigXplorer is used for pre-route topology design and analysis, even before a schematic is created. Thistype of analysis is common at the earliest stages of the design cycle when designers assess the impact of using a new device technology or of increasing bus transfer rate. SigXplorer can be used to build and validate detailed electrical topology modelsand prove the viability of a new technology?before the detaileddesign process begins.Integrated solution space explorationis provided through the SigXplorer topology editor and simulationcockpit. Engineers can model frequency- dependent losses and skin effect accurately for MGH signals with an integrated field solver. Quick trial implementation is possible using the tightly integrated Allegro PCB Router XL. SPICE-BASED SIMULATIONSUBSYSTEMThe Allegro PCB SI GXL circuitsimulation engine TlSim is a proven SPICE-based simulator that combinesthe advantages of traditional SPICE- based structural modeling with thespeed of behavioral analysis. TlSim includes the capability to simulateS-Parameters in time domain. By combining both structural andbehavioral modeling techniques, Tlsim enables engineers to accurately andefficiently model complex devicebehavior. Tlsim also includes a lossycoupled, frequency-dependenttransmission line model that accuratelypredicts the distributed behavior ofPCB traces into the GHz rangeALLEGRO CONSTRAINT MANAGERAllegro Constraint Manager allowsusers to capture, manage, and validatevarious rules in a hierarchical fashion.It provides a real-time display of high-speed rules and their status based onthe current state of a design. WithHigh-pass filterDSP techniques RxDe-emphasisMulti-tapTxUsers need proven advanced macro modeling capabilities for devices with preemphasis ofreceiver equalization without sacrificing simulation performance Eye shrinks with number of bits in stimulus pattern. A good eye diagram is important foraccurate jitter, insertion loss, and BER prediction34Allegro Constraint Manager designerscan group all of the high-speedconstraints for a collection of signalsand form an electrical constraint setECset that is then associated withthose nets to manage their actualimplementation. ECSets can be usedto drive the PCB layout design process,shortening the design cycle time.ALLEGRO MODEL INTEGRITYThe Model Integrity module allowsdesigners to quickly create, manipulate,and validate models in an easy-to-useediting environment. Device modelformats supported include:IBIS 4.1 External Model support for Verilog?-A, Spectre?, HSPICE, CadenceeSpice modelsMentor/Quad XTKCadence Device Modeling Language DMLA Spectre-to-DML conversion moduleassists in creating DML models fromSpectre simulation runs. With theoutput of the Spectre simulation runbuffer options file, users can quicklycreate DML models. Model integrityidentifies V-I and V-T tables for typical,imum, and minimum corner casesfrom the Spectre run file. A proven,intelligent best-curve-fitting algorithmprovides an accurate DML model. AnHSPICE-to-IBIS conversion moduleallows users to create IBIS modelsfrom HSPICE simulation runs.Complete library managementthrough Model Integrity lets usersread and write touchstone formatS-Parameters, check passivity ofS-Parameters, and plot S-Parameters?all with the click of a button. FLOORPLANNER/EDITOR AND PCBROUTERThe floorplanner provides a graphicalview of the PCB database allowingusers to view, simulate, and edit thePCB design. Designers can quickly andeasily evaluate the effects of different placement strategies on designbehavior. They can also perform testrouting using proposed electrical constraints to ensure high-speed design rules are achievable before passingthem on to the PCB layout designerEMCONTROL By applying a combination ofstandard rules and user-defined rules, EMControl can eliminate weeks ofmanual checking and improve product quality and reliability. For a standard rule set, EMControl provides comprehensive, knowledge-based,design rule checking DRC forcommon EMI-related placement androuting issues. For user-defined rules, EMControl allows creation of custom rules that fit within a company’sdesign guidelines. Importantly, these rules capture the high-speed design “experience” as customized rules, which in turn can be reused on future designs. The EMControl module predicts far-field differential-mode radiated emissions in both SigXplorer and the Allegro PCB SI floorplanner. It also allows for exploration of design strategies required to keep radiation within acceptable levels. Near-field EMI analysis, available within the Allegro PCB SI floorplanner, canpredict radiated energy immediately above the board surface. By analyzing near-field EMI patterns, designers canidentify which portions of a routedtrace are producing the most radiatedenergy and adapt the design accordingly.I/O BUFFER MODELSSupported I/O buffer model formatsinclude:Cadence Allegro PCB SI DeviceModeling Language DMLSynopsys HSPICE transistor-levelmodels requires HSPICE simulator andlicense, which is not included withAllegro PCB SI GXLCadence Spectre transistor-levelmodels available on Sun Solaris, HPUX, and Linux RHEL 3.0 platformsonly. This utilizes an integrated andlimited capability version of theSpectre simulator, which is includedwith Allegro PCB SI GXLIBIS 4.1 External Model support for HSPICE, Spectre, Verilog-A, andCadence DMLMentor/Quad XTKINTEGRATION AND INTERFACESAllegro PCB SI GXL reads and writesAllegro PCB database .brd files andprovides interface to Mentor BoardStation layout database.OPERATING SYSTEMSUPPORTRed Hat Linux 3.0, 4.0Windows 2000 with Service Pack 4,XP ProfessionalSun Solaris 8, 9, 10HP-UX 11.11iIBM AIX 5.3CADENCE SERVICES ANDSUPPORTCadence application engineers cananswer your technical questions bytelephone, email, or Internet?theycan also provide technical assistanceand custom trainingCadence certified instructors teachover 70 courses and bring their real-world experience into the classroomOver 25 Internet Learning Series iLSonline courses allow you the flexibilityof training at your own computer viathe Internet SourceLink? online customer supportgives you answers to your technicalquestions?24 hours a day, 7 days aweek?including the latest in quarterlysoftware rollups, product changerelease information, technicaldocumentation, solutions, softwareupdates, and moreVia model generator allows users to modelstub effects at MGH frequencies duringpre-route exploration and analysis phaseof the design processFOR MORE INFORMATIONContact Cadence sales at 1.800.746.6223or visit //0>. foradditional information. To locate aCadence sales office or CadenceChannel Partner in your area, visit//./contact_us 2006 Cadence Design Systems, Inc. All rights reserved. Cadence, the Cadence logo, Allegro, Encounter, SourceLink, Spectre, Verilog, and Virtuoso are registered trademarks Cadence Design Systems, Inc. All others are properties of their respective holders.5585E 07/06ALLEGRO PCB SI FEATURESMajor feature summary for SI, Allegro Design Entry HDL, Allegro PCB SI GXL, and Allegro PCB PI Option XLAllegro PCB Allegro Design Entry Allegro PCB Allegro PCB PISI XL HDL SI XL SI GXL Option XLAllegro Design Entry HDL XL xAssign Models in Schematics xCreate Xnets in Schematics xApply Constraints and Topologies to Schematic for Single-ended and Differential Nets xSingle-line Topology Editor Graphical Canvas x x xSimulation Setup Advisor x xModel Integrity: Model Development Environment x x xModel Integrity: Syntax Checking for IBIS 3.2 and DML x x xModel Integrity: HSPICE-to-IBIS Conversion x x xIBIS 4.0 Models Support x x xQuad Models Translator x x xSpectre Transistor-level Models x x x xMacro-models Support DML x x xSimulation Control: Single-line Simulation x x xWaveform Viewer x x xDetailed Simulation Reports Such as Flight Time, Overshoot, Noise Margin x x xCoupled 3 Net Simulation x xCoupled 3nets Simulation x xSingle Net Pre-layout Extraction from Allegro Design Entry HDL x x xAllegro Physical Viewer PlusDifferential Pair Exploration and Simulation x x xDifferential Pair Pre- and Postlayout Extraction from Allegro PCB Editor x xDifferential Pair Pre-layout Extraction from Allegro Design Entry HDL x x xDifferential Signal Constraint Capture x x xCoupled Line Simulations x x xCrosstalk Simulation x x xSweep Simulations x x xCurrent Probes x x xMultiterminal Black Boxes in Topologies x x xConstraint Development and Capture of Topologies x x xCustom Measurement x x xCustom Stimulus x x xBatch Simulation x xEMControl: Rules Development x xEMControl: Rules Checking x xEMI Differential Simulation x x xAllegro Constraint Manager x x xColor-coded Real-time Feedback on Violations xApply Constraints and Topologies to Board for Single-ended and Differential Nets x xFloorplanner x xConstraint-driven Floorplanning and Routing x xAllegro PCB Router XL x xHSPICE Simulator Interface x x x xS-Parameter Generation from Stackup xS-Parameter Plotting in SigWave xTime Domain Simulation of S-Parameters xLibrary Management of S-Parameters in Model Integrity xCoupled Via Model Generator for Pre-layout Explorations xHigh Capacity Simulation Using Channel Analysis Overlay xOptimum Pre-emphasis Bit Configurations “Tap Settings” xPower Integrity: Design and Analysis Environment xPower Integrity: Decoupling Capacitor Database Setup Wizard xPower Integrity: Impedance Requirements Calculator xPower Integrity: Decoupling Capacitor Selection and PlacementEnvironment xPower Integrity: VRM Editor xPower Integrity: Decoupling Capacitor Library Editor xPower Integrity: Cross-probing Between Waveform Allegro PCB SI Floorplanner xPower Integrity: Frequency Domain Analysis x。

Cadence-使用参考手册

Cadence-使用参考手册

Cadence 使用参考手册邓海飞微电子学研究所设计室20XX7月目录概述11.1 Cadence概述11.2 ASIC设计流程1第一章Cadence 使用基础52.1 Cadence 软件的环境设置52.2 Cadence软件的启动方法102.3库文件的管理122.4文件格式的转化132.5 怎样使用在线帮助132.6 本手册的组成14第二章Verilog-XL 的介绍153. 1 环境设置153.2 Verilog-XL的启动153.3 Verilog-XL的界面173.4 Verilog-XL的使用示例183.5 Verilog-XL的有关帮助文件19第四章电路图设计与电路模拟214.1 电路图设计工具Composer (21)4.1.1 设置214.1.2 启动224.1.3 用户界面与使用方法224.1.4 使用示例244.1.5 相关在线帮助文档244.2 电路模拟工具Analog Artist (24)4.2.1 设置244.2.2 启动254.2.3 用户界面与使用方法254.2.5 相关在线帮助文档25第五章自动布局布线275.1 Cadence中的自动布局布线流程275.2 用AutoAbgen进行自动布局布线库设计28第六章版图设计与其验证306.1 版图设计大师Virtuoso Layout Editor (30)6.1.1 设置306.1.2 启动306.1.3 用户界面与使用方法316.1.4 使用示例316.1.5 相关在线帮助文档326.2 版图验证工具Dracula (32)6.2.1 Dracula使用介绍326.2.2 相关在线帮助文档33第七章skill语言程序设计347.1 skill语言概述347.2 skill语言的基本语法347.3 Skill语言的编程环境347.4面向工具的skill语言编程35附录1 技术文件与显示文件示例60附录2 Verilog-XL实例文件721.Test_memory.v (72)2.SRAM256X8.v (73)3.ram_sy1s_8052 (79)4.TSMC库文件84附录3 Dracula 命令文件359概述作为流行的EDA工具之一,Cadence一直以来都受到了广大EDA工程师的青睐。

常用电子仿真软件介绍

常用电子仿真软件介绍
Synopsys用的是VSS(VHDL仿真器)。现在的趋势是各大EDA公司都逐渐用HDL仿真器作为电路验证的工具。
(3)综合工具综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile是作综合的工业标准,它还有
另外一个产品叫Behavior Compiler,可以提供更高级的综合。另外最近美国又出了一家软件叫Ambit,说是比Synopsys的软件更有效,可以
MATLAB产品族具有下列功能:数据分析;数值和符号计算;工程与科学绘图;控制系统设计;数字图像信号处理;财务工程;建模、
仿真、原型开发;应用开发;图形用户界面设计等。MATLAB产品族被广泛地应用于信号与图像处理、控制系统设计、通讯系统仿真等
诸多领域。开放式的结构使MATLAB产品族很容易针对特定的需求进行扩充,从而在不断深化对问题的认识同时,提高自身的竞争力。
(2)EWB(Electronic Workbench)软件是Interactive ImageTechnologies Ltd 在20世纪90年代初推出的电路仿真软件。
目前普遍使用的是EWB5.2,相对于其它EDA软件,它是较小巧的软件(只有16M)。但它对模数电路的混合仿真功能却十分
强大,几乎100%地仿真出真实电路的结果,并且它在桌面上提供了万用表、示波器、信号发生器、扫频仪、逻辑分析仪、
数字信号发生器、逻辑转换器和电压表、电流表等仪器仪表。它的界面直观,易学易用。它的很多功能模仿了SPICE的设计,
但分析功能比PSPICE稍少一些。
(3)MATLAB产品族它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整的函数集用来对图像信号处理
、控制系统设计、神经网络等特殊应用进行分析和设计。它具有数据采集、报告生成和MATLAB语言编程产生独立C/C++代码等功能。

自动布局布线

自动布局布线

RESISTANCE RPERSQ 0.020000 ;
END metal3
VIA M1_POLY1 DEFAULT
# 定义怎样产生通孔,这里生成 metal1 与 poly1 之间的通孔。
# 注意, 这里产生的通孔是在上下两层都是 default width 时产生的, 当上下两层不是
default # width 时,下面有另外的规则定义。
第七章
自动布局布线
7.1
后端(backend)概述
在前面的章节中,讲到了一个 design 从 RTL 级到 netlist 的流程,当一个 design 完成 了 synthesis,生成 netlist 后, 接下来的任务就是 netlist 的物理实现,即把 netlist 转成 layout。这个过程通常称为后端(backend)。
VERSION 5.1 ; NAMESCASESENSITIVE ON ; BUSBITCHARS "<>" ;
# version, # 区分大小写 #bus 标志符 a<1> , a<2> ,... a<n> 将看作 bus
UNITS DATABASE MICRONS 100 ;
END UNITS
下图(T7.2)是 APR 部分的 Timing-Driven design 的 design flow ,
step1
step2 step3 step4 step5 step6 step7 step8 step9 step10 step11
T7.2 Timing-Driven design flow
END metal2
LAYER via2 TYPE CUT ;

EDA工具-Cadence

EDA工具-Cadence

Cadence[编辑本段]Cadence 公司Cadence Design Systems Inc.是全球最大的电子设计技术(Electronic Design Technologies)、程序方案服务和设计服务供应商。

其解决方案旨在提升和监控半导体、计算机系统、网络工程和电信设备、消费电子产品以及其它各类型电子产品的设计。

其总部位于美国加州圣何塞(San Jose),在全球各地设有销售办事处、设计及研发中心,现拥有员工约4800名,2003年收入约11亿美元。

Cadence公司的电子设计自动化(Electronic Design Automation)产品涵盖了电子设计的整个流程,包括系统级设计,功能验证,IC综合及布局布线,模拟、混合信号及射频IC设计,全定制集成电路设计,IC物理验证,PCB设计和硬件仿真建模等。

同时,Cadence公司还提供设计方法学服务,帮助客户优化其设计流程;提供设计外包服务,协助客户进入新的市场领域。

自1991年以来,该公司已连续在国际EDA市场中销售业绩稳居第一。

全球知名半导体与电子系统公司均将Cadence软件作为其全球设计的标准。

Cadence 中国现拥有员工110人,拥有北京和上海两个研究开发中心,销售网络遍布全国。

Cadence在上海先后建立了高速系统技术中心和企业服务中心,为用户提供高质量、有效的专业设计和外包服务。

Cadenc e北京研发中心主要承担与美国总部EDA软件研发任务,力争提供给用户更加完美的设计工具和全流程服务。

Cadence 公司2003年斥5000万美元巨资在北京投资建立的中关村-Cadence软件学院,立志为中国电子行业培养更多面向集成电路和电子系统的高级设计人才。

Allegro系统互连设计平台Cadence Allegro系统互连平台能够跨集成电路、封装和PCB协同设计高性能互连。

应用平台的协同设计方法,工程师可以迅速优化I/O缓冲器之间和跨集成电路、封装和PCB的系统互联。

★cadence组件简介

★cadence组件简介

cadence公司是一家eda软件公司。

成立于1988年。

其主要产品线从上层的系统级设计到逻辑综合到低层的布局布线,还包括封装、电路版pcb设计等等多个方向。

下面主要介绍其产品线的范围。

Cadence公司著名的软件有:Cadence Allegro;Cadence LDV;Cadence IC5.0;Cadence orCAD等。

1、板级电路设计系统。

Cadence Allegro Silicon Package Board(SPB)可提供新一代的协同设计方法,以便建立跨越整个设计链——包括I/O缓冲区、IC、封装及PCB设计人员的合作关系。

包括原理图输入、生成、模拟数字/混合电路仿真,fpga设计,pcb编辑和自动布局布线、mcm电路设计、高速pcb版图的设计仿真等等。

包括:A、Concept HDL原理图设计输入工具,有for NT和for Unix的产品。

B、Check Plus HDL原理图设计规则检查工具。

(NT & Unix)C、SPECTRA Quest Engineer PCB版图布局规划工具(NT & Unix)D、Allegro Expert专家级PCB版图编辑工具(NT & Unix)E、SPECTRA Expert AutoRouter 专家级pcb自动布线工具F、SigNoise信噪分析工具G、EMControl 电磁兼容性检查工具H、Synplify FPGA / CPLD综合工具I、HDL Analyst HDL分析器J、Advanced Package Designer先进的MCM封装设计工具2、Alta系统级无线设计这一块的产品主要是应用于网络方面的,我个人以为。

尤其是它包括有一套的gsm模型,很容易搞cdma等等之类的东西的开发。

但是我觉得做信号处理和图象处理也可以用它,因为它里面内的spw太牛了,至少是看起来是,spw最牛的地方就是和hds的接口,和matlab 的接口。

EDA常用工具软件介绍

EDA常用工具软件介绍

EDA常用工具软件标题:EDA常用工具软件发表时间:2007-10-31 0:31:27 所属类别:开发工具返回首页>>EDA常用工具软件EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:EWB、PSPICE、OrCAD、PCAD、Protel、Viewlogic、 Mentor、Graphics、Synopsys、LSIlogic、Cadence、MicroSim等等。

这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同时以可以进行PCB 自动布局布线,可输出多种网表文件与第三方软件接口。

下面按主要功能或主要应用场合,分为电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件,进行简单介绍。

1、电子电路设计与仿真工具电子电路设计与仿真工具包括SPICE/PSPICE;EWB;Matlab;SystemView;MMICAD等。

下面简单介绍前三个软件。

(1)SPICE(Simulation Program with Integrated CircuitEmphasis)是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。

1984年,美国 MicroSim公司推出了基于SPICE的微机版PSPICE(Personal—SPICE)。

现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国内普遍使用。

最新推出了PSPICE9.1版本。

它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。

无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。

(2)EWB(Electronic Workbench)软件是Interactive ImageTechnologies Ltd 在20世纪90年代初推出的电路仿真软件。

Cadence工具简介

Cadence工具简介

可以在create shape pin窗口中选择“sym pin”切换至create symbolic pin窗口。
编辑好端口属性后,在版图编辑窗口中需 要添加端口的位置画一小矩形,之后再单 击一次,即完成一个端口。 这里的metal1(pin)端口图层仅表示连线关 系,不生成掩模板,无所谓规则,只要与 实际版图上的铝线连接即可。
Interpret Dracula output
(1) 建立规则文件(Rule File) (2) 编译规则文件 (3) 运行Dracula程序。 (4) 如果Dracula发现验证的错误,它会产生错误报告和出错的数据库, 包含可以用来消除版图中错误的信息。纠正错误后重新进行验证工作, 继续消除错误直到获得正确的版图。
Cadence的版图验证工具
Cadence软件包含两种验证工具:Diva和Dracula。 1. Diva 是与版图编辑器完全集成的交互式验证工具集,它嵌入在 Cadence的主体框架中,属于在线验证工具,在版图设计 过程中能够随时迅速启动Diva验证。 有速度较快、使用方便的特点。 在运行 Diva前,事先要准备验证的规则文件。 2. Dracula 有运算速度快,功能强大,能验证和提取较大电路的特点, 一般在交付制版之前都用Dracula验证产品来发现设计错 误。但验证过程要复杂一些。
反相器版图实例
在P型衬底上制作CMOS反相器,需要一个 PMOS管和一个NMOS管。其中PMOS管制 作在N阱中,包含有源扩散区、多晶硅栅; NMOS管包含有源扩散区、多晶硅栅。 工艺上为了区分P管、N管,分别添加 pselect和nselect两层。

衬底连接与布线: MOS管衬底必须接到相应电位,有源区作 为源漏极也需要引线连接。半导体衬底材 料必须先制作active有源区,才能通过通孔 与金属引线连接。 根据不同工艺,通孔尺寸和间距不同。

Cadence及Hspice基础

Cadence及Hspice基础

HSPICE 基础知识 3.Hspice输出文件有运行状态文件.st0、输出列表 文件.lis、瞬态分析文件.tr#、直流分析文件.sw#、 交流分析文件.ac#、测量输出文件.m*#等。其中, 所有的分析数据文件均可作为AvanWaves的输入 文件用来显示波形。
输入激励文件(.sp) 标准格式和范例如下:
c. .tran 10n 1u UIC sweep temp -55 75 10 主扫描为时间,由0到1us,每隔10ns分析一点,使 用.ic指令所指定的节点起始电压。副扫描为温度, 由-55至75,每隔10分析一次 d. .tran 10n 1u sweep load POI 3 1pf 5pf 10pf 主扫描为时间,由0到1us,每10ns分析一点。次扫 描为负载电容,分别以1pf、5pf、10pf的负载电容 分3次扫描。 .tran 分析指令常配合.measure(.meas)量测指令以便 快速地得到量测结果: a. .meas tran trise trig v(out) val=‘2.5*0.1’ +rise=3 targ v(out) val=‘2.5*0.9’ rise=3 进行暂态分析量测,由v(out)第三上升段的0.25V量 至v(out)第三上升段的2.2V,以变数名称trise存储 之。
layout
s
layoutPlus
m
3 .系统级启动命令
命令 swb msfb icfb
规模 s l xl
功能 Pcb 设计 混合型号IC设 计 前端到后端大 多数工具
Cadence 软件的启动
在UNIX提示符下输入icfb&,再按回车,就会出现如 下图中所示的CIW(Command Interpreter Window) 窗口,从CIW窗口中可以调用许多工具并完成许多 任务。

Cadence视频笔记

Cadence视频笔记

Cadence SPB15.7 快速入门视频教程目录Capture CIS 原理图及元件库部分第1-15讲第1讲课程介绍,学习方法,了解CADENCE软件Cadence下几个程序说明Design Entry CIS 系统级原理图设计Design Entry HDL 芯片设计Layout plus orcad 自带的pcb板布局布线工具,功能不是很强大,不推荐使用Pcb Editor Pcb librarian Cadence带的PCB布局布线封装设计PCB Router pcb自动布线Pcb SI SigXplorer Pcb电路板信号完整性仿真OrCAD Capture CIS 对元件管理更方便相对于OrCAD CaptureI 放大O 缩小页面属性设置options Design Templateoptions Schematic Page Propertie s第2讲创建工程,创建元件库原理图元件库,某元件分成几个部分,各部分间浏览ctrl+N ctrl+B元件创建完后修改footprint封装,options Package Properties第3讲分裂元件的制作方法1、homogeneous 和heterogeneous 区别homogeneous,芯片包含几个完全相同的部分选择该模式,画好第一个part后,后面的part会自动生成,因为完全一样。

但是引脚编号留空了,要自己再设置引脚编号。

heterogeneous芯片包含几个功能部分,可按照功能部分分成几个部分。

ctrl+N ctrl+B切换分裂元件的各个部分原理图画完之后,要对各元件自动编号,在项目管理窗口选择项目,点击tools annotate,在Action下面选择相应的动作。

2、创建homogeneous类型元件3、创建heterogeneous类型元件第4讲正确使用heterogeneous类型的元件1、可能出现的错误Cannot perform annotation of heterogeneous part J?A(Value RCA_Octal_stack ) part has not been uniquely group(using a common User Property with differing Values) or the device designation has not been chosen2、出现错误的原因分裂元件分成几个part,并且用了多片这样的分裂元件。

数字版图设计流程

数字版图设计流程
Elabrate ........................................................................................................................................................ 7
Step 1 导入LEF工艺文件 ............................................................................................................. 15 Step 2 导入时序文件................................................................................................................... 16 Step 3 导入Verilog......................................................................................................................... 17 Step 4 导入DEF文件..................................................................................................................... 18 Step 5 FloorPlan............................................................................................................................. 19 Step 6 手工添加Corner PAD......................................................................................................... 21 Step 7 Place IO PAD ...................................................................................................................... 23 Step 8 插入IO Bridge .................................................................................................................... 24 Step 9 放置宏单元 ........................................................................................................................ 24 Step 10 Add Power Ring................................................................................................................ 25
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

WHITE PAPERSILICON DESIGN CHAIN EXTENDS LOW POWER DESIGN COLLABORATIONTABLE OF CONTENTSIntroduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1 Tackling the low power problem: phase I . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1 Exploring additional power management options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5TABLE OF FIGURESFigure 1Supply voltage versus delay for a buffer in 90nm technology . . . . . . . . . . . . . . . . . . . . . . . . . .3 Figure 2Low power design impact on timing slack . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .51INTRODUCTIONThe global electronics industry was once characterized by neatly stacked vertical companies. For example, ASIC vendors historically created their own process-specific libraries, developed their own design tools and sign-off methodologies, and operated their own foundries. That all changed over the last decade, a period in which many of these companies spun out large parts of their businesses and divested themselves of ever more expensive manufacturing capacity. The result is the current industry landscape—a horizontal network of design chain suppliers spread around the globe. For a time, this disaggregation brought desired business efficiencies, but with the advent of nanometer process nodes, cracks inevitably beganto appear in IC design supply chain. Whereas above 130-nanometer companies could afford to ignore many second- and third-order effects such as noise and crosstalk, today’s designs require deeper collaboration among the various industry players in order to overcome the effects of physics—especially on signal integrity, dynamic and leakage power consumption, and manufacturability.Recognizing this need to cooperate more closely on nanometer design issues, industry leaders Applied Materials, ARM, Cadence and TSMC formed the Silicon Design Chain (SDC) Initiative. Combining their expertise, these companies have established a charter to drive programs designed to address the top issues facing their customers as they adopt advanced process technologies.In 2004, members of the SDC identified power management as the most critical design consideration for high-performance wired devices due to leakage issues and potentially expensive cooling and packaging costs, as well as lower reliability associated with high levels of on-chip power dissipation. During the following year and one half, the SDC member companies executed the first phase of an ongoing collaborative project to address the problem of power management. In this first phase, understanding that the introduction of new design techniques must be managed step by step to ensure success, the team chose to focus on using four power-lowering techniques: automatic multi-threshold leakage-performance optimization, static voltage scaling, clock gating and a new accurate delay prediction methodology that works across multiple voltage domains. The result was a design approach that dramatically reduced both dynamic and leakage power. The SDC team validated this low power solution in silicon with the successful implementation of a chip based on the ARM1136JF-S™processor module. The device, aimed at mobileand wireless applications, yielded a greater than 40% savings in power consumption.Phase I of the low power initiative, focused on several of the most obvious methods for power management. In Phase II, ARM and Cadence are working on a additional methods to attack leakage more aggressively. For example, to lower leakage or dynamic power in circuits, the best approach involves shutting down circuitry when it is not being used. This technique is called power gating. Another approach to lowering dynamic power consumption involves intelligently lowering the operating frequency of the circuit when high clock speeds are not required by the function the circuit needs to perform. This approach is termed dynamic voltage scaling because the device will have multiple operating frequencies. Both of these techniques will be fully explored in phase II along with the need to address test and formal verification for low power circuits.2TACKLING THE LOW POWER PROBLEM: PHASE IIn implementing the first proof point project, the team used a number of innovative techniques to achieve a successful low power design. For dynamic power reduction, they employed two new methodologies—voltage scaling and clock gating—both key technologies supported in the TSMC Reference Flow 6.0.Voltage scaling means partitioning the IC as a multi-supply voltage (MSV) design, which isolates parts of the chip into islands that are designed to operate at different supply voltages based on their respective timing characteristics. By aggregating the less critical operations into islands that had the voltage scaled down to 0.8V, the team was able to save 36% of the dynamic power for that portion of the design.The team achieved additional dynamic power reduction via clock gating, which involves using a gating circuit to shut off the clock for registers where the data is loaded only infrequently (but where the clock signal would continue to switch at every clock cycle and thereby drive capacitance load). Clock gating can achieve a 10 to 29% dynamic power savings. Cadence Encounter RTL Compiler can automate clock gating by automatically optimizing the integration of clock-gating cells from the ARM standard cell library (partof its family of Artisan®physical IP). Using this approach, the team was able to gate 85% of the registers in the low power chip. This combination of voltage scaling and clock gating resulted in a 38% decrease in dynamic power consumption.Since the chip’s 90-nanometer process meant static power dissipation could account for as much as 50% of the overall power consumed, the team next attacked leakage power. They used the ARM standard cell libraries that contain a matched set of logic cells, each having different threshold voltages (Vt) and the same physical footprint. The cells with the higher threshold voltage leak less, but run slower, than their counterpart cells with lower threshold voltages. These cells utilize TSMC transistors that are designed specifically to allow designers to make performance versus. leakage trade-offs to meet their design goals. As recommended in the TSMC Reference Flow 6.0, RTL Compiler achieved an optimal mix of high- andlow-Vt cells during synthesis, which resulted in a netlist implementation that met performance goals at the lowest possible level of leakage current. After place-and-route, the design was then tuned to provide the final optimization that accounted for the actual wires. Using this improved static power reduction methodology, the Silicon Design Chain design team achieved a 46.7% savings in leakage power.Once power was optimized, the team needed to perform analysis and verification of the low power design. Using multiple power supplies within the chip complicated the timing analysis step because the tools needed to achieve have accurate delay models for each operating voltage when computing the timing. Proper modeling for level-shifter and clamp cells was also needed to compute the delays correctly. Using the effective current source model (ECSM) helped the team solve these issues at 90 nanometers. Accuracy was also a primary concern during sign-off, because IR drop effects on timing are accentuatedat the lower supply voltages that were used in the MSV design. Leveraging the TSMC Reference Flow 6.0, the SDC team relied on Cadence VoltageStorm and Cadence CeltIC NDC to analyze the IR drop across the 1.0V and 0.8V power grids, and the resultant voltages were input into the ECSM-based delay calculator in CeltIC NDC (SignalStorm) to provide near-SPICE accurate timing across the two supply voltage regions.3EXPLORING ADDITIONAL POWER MANAGEMENT OPTIONSWhile phase I was an excellent start to addressing power management, there are additional opportunities to attack leakage current more aggressively through careful planning of operational and sleep modes. As transistor counts continue to rise and 90- and 65-nanometer processes proliferate, power management is becoming one of the most critical concerns for design teams. Because at 90nm and below, the physics of silicon—which for decades allowed chips to be scaled with a corresponding increase in speed and reduction in power consumption—begin to work against conventional engineering, especially in the area of leakage power. For example, Intel recently announced that to achieve the performance increase needed for its next-generation processor, it would switch to a dual-core architecture, rather than trying to drive up frequency on a single core architecture. The leakage problem was too hard to solve. Similarly, within a week of Intel’s announcement, Texas Instruments announced its SmartReflex™technology that analyzes and manages power consumption in the processor domain, while adaptively controlling the power supply through software to optimize the use of battery power.The techniques for managing power dissipation will vary with the type of device. In products where performance is the paramount concern, such as gaming or graphics processors, managing leakage power becomes a significant challenge. Also, multi-function electronics products create new issues. The latest handheld organizer might, for example, let you check your calendar and email and allow you to watcha video. These massively complex devices require radically different operating frequencies, dependingon the function the device is performing. In designs such as these, engineers would want to employ one power management technique to handle varying performance requirements as well as another technique to shut some circuitry off completely when you don't need it.In addition, while essential for creating successful products, implementing power management techniques introduces new challenges for design, test and verification tools. This added complexity—coupled with issues such as narrower margins associated with lower swing voltages in individual gates—creates new complexities in test and verification.3.1NEW TECHNIQUES FOR POWER MANAGEMENT AND LOW POWER TEST AND VERIFICATIONSDC members ARM and Cadence are continuing their work on reducing power consumption. The teamis expanding its successful low power methodology by exploring the next set of integrated power management capabilities. The first new area of investigation involves crafting an optimized approach for implementing reliable and robust power shut-down and start-up sequences, which is augmented with a state retention capability for the powered down circuitry. The second area of focus is to create dynamic voltage and frequency scaling procedures that can be used to intelligently lower power consumption based upon need. Meanwhile, new cells to support variable operating voltages and power shifting circuitry all pose new challenges for formal verification as well as for accepted design-for-test (DFT) approaches. Both formal verification and DFT must be upgraded to deal with low power design techniques and will be addressed as part of a comprehensive power management methodology.Figure 1. Supply voltage versus delay for a buffer in 90nm technology3.2POWER GATINGThe best way to reduce power is to shut it off. Power gating is a technique that can dramaticallyreduce leakage power, which involves shutting down an entire region or whole sub-block of an IC. This enables design teams to save power by turning off circuitry for functions of a device that are not active, such as when someone is using a cell phone’s built-in camera function but not making a phone call at the same time.While the concept is simple, the challenge lies in the implementation—i.e., knowing where to insert power gates and how to connect the switches, and creating the myriad of electrical connections required to actually perform the shut down. Powering up and ramping down these areas also requires carefully planned transition cycle circuitry to prevent current spikes that could damage the device. Designers must anticipate and simulate numerous scenarios to understand power savings achieved during power down versus the switching power required during ramp up. Without this careful analysis, the design might waste as much power in the transition as it saves by shutting the power down.It is also important to consider minimum states of the logic block that need to be preserved for faster wake up. Preserving the logic state, involves saving critical information into a memory function that is maintained during the power-down cycle.To speed the turnaround time of analysis and implementation, the Low power Initiative uses the Cadence Encounter digital IC design platform to provide a domain-aware infrastructure. This infrastructure employs a system-level understanding of where to add power gates and how and when to control gates for an optimal reduction of leakage power. To take advantage of this automated feature, logic designers opportunistically partition their chips so that voltage can be varied or shut down on different subdivisions of the chip based upon knowledge of which operations are running on that portion of the circuit. RTLCompiler synthesis technology recognizes different power domains and inserts isolation cells and retention registers where needed. Using intelligent architectural partitioning and Encounter’s automated power techniques, designers are able to minimize leakage and dynamic power consumption across their design. The Cadence Encounter platform is further utilized to automatically insert power switches, and place and route power domain blocks. It also enables optimization of switch size based on electrical characteristics. Finally, timing and signal integrity analysis is performed to account for additional IR drop in switches and delays occurring through isolation cells and to control signal-to-noise characteristics.The SDC’s focus is to validate interdependent technology from each member company. For power gating, key components of the ARM Power Management Kit facilitate an automated power management flow:• Power gates that control voltage islands via switchable voltage rails using header or footer cells• Level shifters and isolation cells that enable up and down voltage shift with an optional enable signal • Always-on-buffers—buffer signals in powered-down regionsThe ARM technology-based solutions work in concert with the Encounter platform’s synthesis, placement and analysis capabilities. This combination ensures optimal power, frequency and signal integrity throughout the design process.Cadence technology leverages detailed cell characterization data that is contained in the ARM physical IP models. This data is used to perform timing and signal integrity analysis to account for additional IR drop in switches and delays occurring through isolation cells and to control signal-to-noise characteristics.3.3DYNAMIC VOLTAGE AND FREQUENCY SCALINGOperating at higher frequencies consumes more dynamic power. Dynamic voltage and frequency scaling (DVFS) is a power saving technique that allows design teams to make fine-granularity trade-offs between power consumption and performance by dynamically lowering the voltage in individual frequency domains.In practice, this technique requires using slower clocks that consume less power and using embedded software to dynamically scale the voltage in these blocks depending on the function the device is performing—e.g., whether a music player is in standby mode or playing an MP3 file. One of the key challenges of this approach is considering all the scenarios in advance and optimizing the design to achieve the best balance of power and performance for each operating condition. ARM and Cadence teams are working to simplify this task by developing design IP and Encounter platform technology and methodologies to automate the optimization process. Specifically, ARM and Cadence are collaborating to prove the system-level implementation of the new ARM technology for DVFS and power shutdown, which uses algorithms to intelligently achieve the lowest voltage on a frequency pair necessary to meet the minimum performance required for a specific operation. The new ARM Artisan advanced specialized cells for low power designs are a key enabling technology for this robust power-lowering approach.3.4LOW POWER TESTThere is no silver bullet for conquering power issues in nanometer designs. Depending on product requirements, each design team will need to a use a mix of the techniques proved in both phases of the low power project. Adding advanced power management to the design, however, adds operating modes and thereby increases test complexity. This creates a problem regarding how to manage coverage of all the different modes in the least number of patterns.In addition, low power design techniques dramatically increase timing criticality, because a properly optimized design would have traded all the available timing slack for power reduction. As shown in Figure 2, the timing criticality profile of the test chip shifts toward the left of the graph as morelow power techniques are used in the design. As a result, the majority of paths in a low power designwill become timing critical. Thus, identifying delay defects becomes a very important part of thetest strategy.Figure 2. low power design impact on timing slackThe SDC team is working with the Cadence Encounter platform technology to enhance and automate front-end DFT and test diagnostics, which includes design planning and implementation as well as development of very accurate delay path tests. Among the most significant results of this approach is coverage for virtually all of the real timing paths.3.5LOW POWER VERIFICATIONlow power designs require equivalence checking and other advanced verification techniques. SDC team addresses these issues by applying newly added Encounter platform technologies. Encounter Conformal provides a low power equivalence-checking capability with functional checks for advanced low power design. This capability ensures that low power optimizations do not introduce logical errors in the design. Encounter Conformal also performs state-retention register-mapping checks, verifies level-shifter insertion between different voltage islands, and verifies power connectivity and power switching in power-gated designs. In addition, Encounter formally validates power control, logic isolation, and state-retention functions in power-gated designs.4CONCLUSIONThe need to save power while maintaining performance goals at 90 and 65 nanometers creates challenges in design and test that necessitate deep collaboration among key members of the design chain—foundries, EDA companies, and IP suppliers. In the second phase of the power management methodology, ARM and Cadence are working together to validate interdependent technology for low power design. Meanwhile, a shared knowledge of design requirements, up and down the design chain, helps to ensure that the design can be reliably manufactured. This type of close collaboration enables the SDC team to validate, through proof point projects, important new techniques in power management, DFT, and low power formal verification.Cadence Design Systems, Inc.Corporate Headquarters2655 Seely AvenueSan Jose, CA 95134800.746.6223408.943.1234© 2006 Cadence Design Systems, Inc. All rights reserved. Cadence and the Cadence logo are registered trademarks of Cadence Design Systems, Inc. All others are propertiesof their respective holders.6657 01/06。

相关文档
最新文档