《数字系统设计》实验指导书及综合作业1

合集下载

数字系统设计技术实验指导书

数字系统设计技术实验指导书

Experiment 2 Designing Number Comparer实验目的: 熟悉QuartusII 的开发环境熟练掌握编程开发流程 学习VHDL 的基本语法 学习VHDL 编程设计实验内容:数值比较器设计实验要求:熟练掌握QuartusII 开发环境下对可编程逻辑器件进行程序化设计的整套流程设计输入使用插入模板(Insert Template )在QuartusII 开发环境下对设计程序进行时序仿真将生成的配置文件下载到实验板,进行最终的实物测试验证实验原理:根据两位二进制数的大小得到对应的比较结果,其电路示意图及电路特性表为:比较器特性表比较器电路示意图实验报告内容要求:(1) 实验目的;(2) 实验内容; (3) 实验要求; (4) 实验原理; (5) 程序编写; (6) 程序编译(首先选择器件具体型号); (7) 功能仿真和芯片时序仿真; (8) 芯片引脚设定; (9) 适配下载结果及结论。

NumberComparerA(3..0) B(3..0)In_s In_l In_eYl YeYs YExperiment 3 Designing 8 to1-Multiplxer实验目的:熟悉QuartusII的开发环境熟练掌握编程开发流程学习VHDL的基本语法学习VHDL编程设计实验内容:八选一数据选择器设计。

实验要求:熟练掌握QuartusII开发环境下对可编程逻辑器件进行程序化设计的整套流程设计输入使用插入模板(Insert Template)在QuartusII开发环境下对设计程序进行时序仿真将生成的配置文件下载到实验板,进行最终的实物测试验证实验原理:电路功能表及其电路外部符号如下:电路功能表实验报告内容要求:(1)实验目的;(2)实验内容;(3)实验要求;(4)实验原理;(5)程序编写;(6)程序编译(首先选择器件具体型号);(7)功能仿真和芯片时序仿真;(8)芯片引脚设定;(9)适配下载结果及结论。

现代数字系统设计实验指导书

现代数字系统设计实验指导书

FPGA应用与开发实验指导书目录实验一:Quartus软件操作 (4)1.Quartus II 的文本编辑输入法 (4)2.Quartus II 的图形编辑输入法 (6)实验二:简单的组合逻辑电路设计 (9)1.四舍五入判别电路............................................................ 错误!未定义书签。

2.控灯电路............................................................................ 错误!未定义书签。

3.优先权排队电路................................................................ 错误!未定义书签。

实验三:显示译码电路.............................................................. 错误!未定义书签。

1.数字循环显示.................................................................... 错误!未定义书签。

2.字母循环显示电路............................................................ 错误!未定义书签。

实验四:BCD码加法电路........................................................... 错误!未定义书签。

1.二进制码到BCD码的转换 ............................................. 错误!未定义书签。

2.1位BCD加法器 .............................................................. 错误!未定义书签。

2013年版数字系统设计(双语)实验指导书(1)

2013年版数字系统设计(双语)实验指导书(1)

数字系统设计实验指导书Experiments Handbook of Digital System Design实验一:8位简单算逻运算单元电路设计8 bit Simple ALU实验学时:4学时实验类型:设计型一、目的与任务要求学生在理论课学习的基础上,掌握采用Verilog HDL、基于自顶向下的模块化设计方法;掌握在Quartus II EDA平台进行设计输入、编译、仿真的全过程。

二、内容与要求1. 内容在Quartus II EDA平台上,运用基于模块化的设计方法,采用Verilog HDL,设计一个8位简单ALU及其数据通道,功能如表,分别根据操作码实现加、减、逻辑与、或等八种运算,数据通道在读写命令控制下,完成从寄存器读出操作数及写入运算结果。

要求:其输入操作数为实验学生学号末尾开始尽量非零的四位数对应的8421码组合(例如,学号11070023两个操作数分别为32和71,对应的8421码00110010,2.要求预习实验内容,编写Verilog HDL程序。

课上,进行编程输入、编译、时序仿真。

课后写出实验报告(实验名称、实验内容、顶层框图、V erilog HDL程序、仿真波形、资源分配、实验小结)三、考核与成绩评定本实验为学生必做实验,本实验分值为20 分考核内容:预习25%,实验过程50%,实验报告25%。

成绩评定方法:根据考核内容进行综合评定。

四、实验说明本实验的重点在于自顶向下的模块化设计的概念及设计方法。

五、参考资料ALU及数据通道参考教材《VerilogHDL高级数字系统设计》P478和P208实验三、基于循环算法的数字签名分析电路的验证Verification of Repetitive Algorithm based Digital SignatureAnalysis Circuit实验学时:2 实验类型:验证型一、目的与任务:要求学生在理论课学习的基础上,分析基于CRC码进行数字签名验证功能需求,学习用Verilog HDL中循环算法进行行为建模的功能设计,在EDA平台-QuartusII下,完成设计的输入及编译综合以及仿真验证。

数字系统综合课程设计指导书

数字系统综合课程设计指导书

数字系统综合课程设计指导书适用专业:计算机科学与技术、通信工程、信息管理与信息系统课程设计时间:4周一、课程设计目的1.通过本课程设计,培养上机动手能力,使学生巩固这门课程学习的内容,掌握本课程的基本方法,强化动手能力,培养设计思维;2.为后续各门课程的学习打下坚实基础。

二、课程设计内容用数字电路的相关知识来完成本次课设;题目参见相关文档;三、课程设计任务1.任务:在五周内至少正确地完成一个给定的题目。

2.学生完成设计后,找老师检查设计是否正确,学生开始在实验室进行验证,直到得出正确的结果为止:四、课程设计考核方式按考核评定表给出学生成绩。

五、提交的资料1)电子材料设计电路图、设计报告、参考文献(用在什么位置)。

(格式按要求完成)2)纸制材料成绩评定表数字系统课程设计指导书数字系统课程设计题目见下文具体的设计详见下表:(1)课程设计的实施细则;(2)课程设计报告格式要求;(3)课程设计成绩评定表;(4)详见课程设计规程;(5)题目要求(1)课程设计实施细则表一、面向教师的课程设计实施细则:1、教师先向学生布置设计任务书;规定设计技术指标和相关其它要求。

2、课程设计任务书应写明:(1)题目(2)主要技术指标和要求,(3)给定条件及原始数据,(4)所用仪器设备,(5)参考文献3、教师讲授必要的电路原理和设计方法,着重帮助学生明确任务,掌握工程设计的基本方法。

其余时间,主要是学生自学。

二、面向学生的课程设计实施规则1、学生必须在所规定的时间里按时到实验室。

2、有事或生病的同学必须请假(有假条)。

3、如选用指导老师所给定的题目,必须按老师的要求来完成任务。

4、如果是自选题目必须经过指导老师的允许方可进行设计。

5、除(设计\计算阶段、写总结报告阶段)其它时间必须按规定在实验室完成。

6、设计\计算阶段完成后,经指导教师同意方可进行安装调试阶段、安装调试阶段完成后,再经指导教师的同意,才可写总结报告。

7、最后的评定成绩是由答辩成绩占40%,总结报告成绩占20%,平时成绩占40%组成。

数字逻辑与数字系统实验与课程设计指导书1

数字逻辑与数字系统实验与课程设计指导书1

实验一基本逻辑门实验一、实验目的1.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。

2.熟悉数字电路实验箱的使用。

二、实验器材1.数字万用表1块2.数字电路实验箱1台3.二输入四与非门74LS001片4.二输入四或非门74LS02 1片5.二输入四异或门74LS86 1片6.六反相器74LS04 1片三、实验说明本实验采用的集成块引脚排列见图1-1所示:1.将被测器件插入实验箱上的14芯插座中。

2.将器件的引脚7与实验箱的“地(GND)”连接,引脚14与实验箱的“+5V”直流电源连接。

3.用实验箱的电平开关输出作为被测器件的输入。

拨动开关,则改变器件的输入电平。

4.将被测器件的输出引脚与实验箱上的电平指示灯连接。

指示灯亮表示输出电平为1,指示灯灭表示输出电平为0。

四、实验内容及步骤1.与非门逻辑功能测试按图1-2连接电路,输出结果记入表1-1中。

表1-12.异或门逻辑功能测试按图1-3连接电路,输出结果记入表1-2中。

表1-2按图1-4连接电路,输出结果记入表1-34.门电路输出控制分别按图1-5连接电路,S 为电平开关,一个输入端接连续脉冲,用示波器观察输出端波形,记入表1-4中。

74LS00D&R 300LEDA BA BY表1-4五、实验总结1.由表1-2和1-3写出逻辑表达式,指出电路逻辑功能。

2.说明与非门在什么情况下封锁信号,在什么情况下允许信号通过?3.若用异或门作为控制门,一端接电平开关S ,另一端接连续脉冲,情形会怎样?74LS00D&SY74LS02D>=1SY实验二数据选择器和译码器一、实验目的1.掌握译码器的逻辑功能及应用。

2.掌握数据选择器的逻辑功能及应用。

二、实验器材1.数字万用表1块2.数字电路实验箱1台3.数字存储示波器1台4.3线-8线译码器74LS138 1片5.八选一数据选择器74LS151 1片6.四输入二与非门74LS20 1片7.4线-七段译码器/驱动器4511 1片8.共阴极七段显示器1片三、实验说明1.74LS138、74LS151、74LS20和4511的引脚图如图2-1所示。

《数字系统设计》实验指导书

《数字系统设计》实验指导书

数字系统设计实验指导书编者:陈汉林电子信息工程系2007 年 7月目录第一部分《数字系统设计》实验说明 (2)一、考核方式及成绩评定参考标准 (2)二、实验报告格式要求 (2)三、进度安排 (3)四、注意事项 (3)第二部分《数字系统设计》实验项目 (4)实验一出租车计费系统设计 (4)实验二频率计设计 (5)第三部分GEXIN EDAPRO/240H实验仪 (8)第四部分KH-310智能型可编程数字开发系统芯片IO对照表 (21)1第一部分《数字系统设计》实验说明一、考核方式及成绩评定参考标准每个实验设计结束后要求提交实验报告,并通过老师验收。

每次实验成绩由实验报告和验收成绩共同决定。

评分标准如下:1.根据实验拟定设计方案,简述系统工作原理,画出系统工作原理框图;(15分)2.根据技术指标要求,完成各单元电路的设计;(15分)3.总体程序框架合理、结构清晰明了;(10分)4.系统功能实现正确,仿真结果正确,在实验系统上运行正确;(30分)5.有创新点,扩展功能的实现;(10分)6.实验报告格式正确,内容完整,描述清楚,画图工整。

(20分)二、实验报告格式要求《数字系统设计》实验报告采用统一格式,包括字体、图文要求,如果格式不符,则根据情况给予扣分。

书写格式:1.报告用A4纸打印。

2.报告最多不超过10页。

3.页面设置:上2,下2,左2,右2,页眉1.5,页脚1.75。

4.采用单倍行距,标准字符间距,西文、数字等符号均采用Times New Roman 体字。

5.标题用五号黑体字,正文用五号宋体字。

6.每个表格应有自己的表序和表题,表序和表题应写在表格上方正中,表序后空一格书写表题。

表序为:表1、表2……,表格内容为小5号宋体字,表序和表题为小5号黑体字。

7.插图必须精心制作,不得徒手画,照片图应清晰,线条要匀称,图面要整洁美观。

每幅插图应有图序和图题,图序和图题应放在图位下方居中处。

图序为:图1、图2…….,图序和图题用小5号黑体字。

数字电子系统设计(CPLD)实验指导书资料

数字电子系统设计(CPLD)实验指导书资料

数字电子系统设计(CPLD)实验指导书中国矿业大学电工电子教学实验中心2001年11月目录第一部分CPLDEE实验开发系统及配套软件简介第一节CPLDEE实验开发系统简介第二节CPLDDN下载软件简介第二部分数字电子系统设计实验实验一简单逻辑电路设计与仿真实验二译码与寄存器电路设计与仿真实验三全加器设计、仿真与下载实验四分频程序设计与12归1电路实验五利用硬件描述语言进行数字钟设计实验六串形扫描显示电路设计实验七BCD码转换电路设计实验八数据采集与显示电路设计实验九LPM使用及8*8乘法器的设计实验十CPLD间串行通信(单工)综合实验一数字系统设计与单片机接口实验一综合实验二数字系统设计与单片机接口实验二综合实验三数字系统设计与单片机接口实验三综合实验四数字系统设计与单片机接口实验四综合实验五数字系统设计与单片机接口实验五综合实验六CPLD与计算机双工串行通信实验综合实验七CPLD与计算机并行口通信实验第一部分 CPLDEE实验开发系统及配套软件简介第一节CPLDEE实验开发系统简介目前,随着大规模可编程器件在市场上的应用越来越广泛,各高校都相继开出了这门课程,为了配合高校EDA技术的教学、实验以及科研人员的设计开发,我们推出了CP LDEE--4系列实验开发装置。

本实验装置是在世界银行贷款招标标书要求的基础上设计并有所突破,广泛适用于教学和科研,面向本科教育、研究生教育及科研开发。

1.系统基本特征●配备:本实验箱配有三家公司(altera低电压1k系列(3万门以上)、lattice的ispLS I1032E—70LJ84、xilinx的xc95108系列)芯片下载板,适用范围广泛。

●资源:芯片门数最多达到10万门(ACEX1K100),管脚可达208脚。

●编辑方式有图形编辑,文本编辑,波形编辑,混合编辑等方式,硬件描述语言有AHDL,VHDL,Verilog-HDL等语言。

●主板功能:✧配有模拟可编程器件ispPAC器件系列,突破传统的EDA实验箱一般只做数字电路实验的模式,用户可以在实验箱上通过的模拟可编程器件进行模拟电子的开发训练。

数字系统设计实验报告

数字系统设计实验报告

计算机科学与技术学院数字系统设计实验报告姓名:学号:专业:班级:指导教师:2011年11月徐州实验4-1 组合电路的设计实验目的:熟悉Quartus 2的 VHDL 文本设计流程全过程,学习简单组合电路的设计,多层次电路的设计、仿真和硬件测试。

实验内容:1.首先利用Quartus 2 完成2选1 多路选择器的文本编辑输入和仿真测试等步骤,给出仿真波形。

最后在实验系统上进行硬件测试,验证本项设计的功能。

2.将此多路选择器看成是一个元件mux21a,利用元件例化语句描述,并将此文件放在同一目录中。

任务一:1.程序代码ENTITY mux21a ISPORT (a,b,s:IN BIT;y:OUT BIT );END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS(a,b,s)BEGINIF s='0' THEN y<=a;ELSE y<=b;END IF;END PROCESS;END ARCHITECTURE one;2.编译3.时序仿真4.观察RTL电路任务二:1.程序代码entity MUXK ISPORT (a1,a2,a3,s1,s0: in bit;outy:out bit);end entity MUXK;ARCHITECTURE one of MUXK ISCOMPONENT mux21aport (a,b,s:in bit;y:out bit);end component;signal tmp: bit;beginu1:mux21a port map(a=>a2,b=>a3,s=>s0,y=>tmp);u2:mux21a port map(a=>a1,b=>tmp,s=>s1,y=>outy);end architecture one;2.编译3.波形图4.观察RTL电路实验体会:通过这次实验,对vhdl文本设计流程有了初步的了解,对组合电路的原理和构成有了更深的认识。

数字系统设计_实验报告

数字系统设计_实验报告

一、实验目的1. 熟悉数字系统设计的基本流程和方法;2. 掌握数字系统硬件描述语言(如Verilog)的基本语法和设计方法;3. 培养动手实践能力,提高数字系统设计水平;4. 了解数字系统设计中常用模块的功能和实现方法。

二、实验内容1. 数字系统硬件描述语言(Verilog)编程2. 数字系统模块设计3. 数字系统仿真与调试三、实验步骤1. 设计数字系统模块(1)分析数字系统功能需求,确定模块功能;(2)根据模块功能,设计模块的输入输出端口和内部结构;(3)使用Verilog语言编写模块代码。

2. 编写顶层模块(1)根据数字系统功能需求,设计顶层模块的输入输出端口和内部结构;(2)将已设计的模块实例化,连接各模块端口;(3)编写顶层模块代码。

3. 仿真与调试(1)使用仿真工具(如ModelSim)对顶层模块进行仿真;(2)观察仿真波形,分析模块功能是否满足设计要求;(3)根据仿真结果,对模块代码进行修改和优化;(4)重复步骤(2)和(3),直至模块功能满足设计要求。

四、实验结果与分析1. 数字系统模块设计(1)设计了一个4位加法器模块,包括两个4位输入端口、一个4位输出端口和两个进位输出端口;(2)设计了一个2位乘法器模块,包括两个2位输入端口和一个4位输出端口;(3)设计了一个8位存储器模块,包括一个8位输入端口、一个8位输出端口和一个地址输入端口。

2. 顶层模块设计(1)根据功能需求,设计了一个包含加法器、乘法器和存储器的数字系统顶层模块;(2)将已设计的模块实例化,连接各模块端口;(3)编写顶层模块代码。

3. 仿真与调试(1)使用ModelSim对顶层模块进行仿真;(2)观察仿真波形,发现加法器和乘法器功能正常,但存储器模块存在错误;(3)分析存储器模块代码,发现地址输入端口的逻辑关系错误;(4)修改存储器模块代码,重新进行仿真,验证模块功能正确。

五、实验总结1. 通过本次实验,掌握了数字系统设计的基本流程和方法;2. 学会了使用Verilog语言进行数字系统模块设计;3. 培养了动手实践能力,提高了数字系统设计水平;4. 了解数字系统设计中常用模块的功能和实现方法。

《数字系统VHDL设计》实验指导书

《数字系统VHDL设计》实验指导书

《数字系统VHDL设计》实验指导书主编张广忠丁黎明审核蔡静之校对杨艺北方民族大学电气信息工程学院二○○八年九月目录第一章 EDA实验系统使用说明 (1)§1-1GW48-CK教学实验系统原理与使用介绍 (1)§1-2实验电路结构图 (6)§1-3GW48-CK系统结构图信号名与芯片引脚对照表 (17)第二章实验项目 (21)实验一熟悉MAX+PLUSⅡ设计环境 (21)实验二原理图输入设计8位加法器 (28)实验三简单组合和时序电路VHDL设计 (36)实验四含有控制信号的计数器VHDL设计 (37)实验五数码显示电路的VHDL设计 (38)实验六状态机设计ADC0809采样控制电路 (40)附录:实验系统目标板上EPF10K10LC84管脚图 (43)第一章 EDA 实验系统使用说明第一节 GW48-CK 教学实验系统原理与使用介绍一、GW48-CK 系统使用注意事项1、闲置不用GW48-CK EDA 系统时,关闭电源,拔下电源插头!2、在实验中,当选中某种模式后,要按一下复位键,以使系统正式进入该模式工作。

3、换目标芯片时要特别注意,不要插反或插错,也不要带电插拔,确信插对后才能开电源。

其它接插口都可带电插拔。

4、若进行DAC0832接口实验,需自行提供-/+12V 电源,接入时,请特别注意极性 !5、系统板上的空插座是为单片机AT89C2051准备的,除非进行单片机与FPGA/CPLD 的接口实验和开发,平时在此座上不允许插有任何器件,以免与系统上的其它电路发生冲突。

单片机与系统的连接情况可参阅以下的附图2-13。

该单片机和相应的编程器需自备或另购。

6、对CPLD (如1032E/1048C 、95108或7128S 等)下载时。

最好将系统的电路“模式”切换到“ b ”,以便使工作电压尽可能接近5V 。

7、 最好通过对PC 机的CMOS 的设置,将打印机口的输入输出模式改成“EPP ”模式。

数字系统实验指导书1

数字系统实验指导书1

数字系统实验指导书实验一开发环境使用训练实验二基本语法使用训练实验三组合、时序逻辑电路设计实验四有限状态机设计实验一开发环境使用训练一、实验目的1.掌握QuartusII使用方法;2.掌握原理图输入设计方法。

二、实验仪器1.微型计算机一台2.QuartusII 软件三、实验原理1.运行QuartusII软件,原理图输入,进行设计。

图1 QuartusII软件界面2. 3-8译码器原理图图1 3-8译码器原理图3. 新建VHDL文件参考程序:library ieee;use ieee.std_logic_1164.all;entity decoder isPort ( aa: in std_logic_vector(2 downto 0);qq: out std_logic_vector(7 downto 0));end decoder;architecture one of decoder isbeginprocess(aa)begincase aa iswhen “000” => qq<=”00000001”;when “001” => qq<=”00000010”;when “010” => qq<=”00000100”;when “011” => qq<=”00001000”;when “100” => qq<=”00010000”;when “101” => qq<=”00100000”;when “110” => qq<=”01000000”;when “111” => qq<=”10000000”;end case;end process;end one;四、实验内容及步骤步骤1:打开QuartusII软件;步骤2:创建工程;步骤3:打开原理图编辑器;(步骤3:新建VHDL文件)步骤4:原理图编辑;(步骤4:编辑VHDL文件)步骤5:引脚命名;(无)步骤6:保存原理图,并将设计文件加入工程;(步骤5:保存VHDL文件,将设计文件加入工程。

数字系统设计 实验报告

数字系统设计 实验报告

数字系统设计实验报告1. 引言数字系统设计是计算机科学与工程中的重要领域之一。

本实验旨在通过设计一个基本的数字系统,深入理解数字系统的原理和设计过程。

本文将按照以下步骤详细介绍实验的设计和实施。

2. 实验目标本实验旨在设计一个简单的数字系统,包括输入、处理和输出三个模块。

具体目标如下: - 设计一个输入模块,用于接收用户的输入数据。

- 设计一个处理模块,对输入数据进行特定的处理。

- 设计一个输出模块,将处理结果展示给用户。

3. 实验设计3.1 输入模块设计输入模块主要用于接收用户的输入数据,并将其传递给处理模块进行处理。

在本实验中,我们选择使用键盘作为输入设备。

具体设计步骤如下: 1. 初始化输入设备,确保能够正确接收用户输入。

2. 设计输入缓冲区,用于存储用户输入的数据。

3. 实现输入函数,将用户输入的数据存储到输入缓冲区中。

3.2 处理模块设计处理模块是数字系统的核心部分,负责对输入数据进行特定的处理。

在本实验中,我们选择设计一个简单的加法器作为处理模块。

具体设计步骤如下: 1. 定义输入数据的格式和表示方法。

2. 实现加法器的逻辑电路,可以通过使用逻辑门和触发器等基本组件来完成。

3. 设计加法器的控制电路,用于控制加法器的运算过程。

4. 验证加法器的正确性,可以通过给定一些输入数据进行测试。

3.3 输出模块设计输出模块用于将处理结果展示给用户。

在本实验中,我们选择使用显示器作为输出设备。

具体设计步骤如下: 1. 初始化输出设备,确保能够正确显示处理结果。

2. 设计输出缓冲区,用于存储待显示的数据。

3. 实现输出函数,将输出数据从输出缓冲区中传输到显示器上。

4. 实验实施4.1 输入模块实施根据3.1节中的设计步骤,我们首先初始化输入设备,然后设计输入缓冲区,并实现相应的输入函数。

4.2 处理模块实施根据3.2节中的设计步骤,我们定义输入数据的格式和表示方法,然后实现加法器的逻辑电路和控制电路。

数字系统综合实验指导书

数字系统综合实验指导书

数字系统综合设计指导书电子信息工程教研室辽宁工业大学2008-5-24目录第一章 MAX + PLUS Ⅱ入门 (3)第二章数字系统综合设计 (32)实验一3-8译码器的设计 (32)实验二组合电路实验 (48)实验三触发器功能模拟 (50)实验四扫描显示电路的驱动 (54)实验五计数器及时序电路 (56)第一章 MAX + PLUS Ⅱ入门在这一章中,首先用最简单的实例介绍使用MAX + PLUS Ⅱ软件的全过程。

进入Windows 98 后,双击MAX + PLUS Ⅱ图标,屏幕如图1.1所示。

图1.1 MAX + PLUS Ⅱ管理器1.1原理图输入原理图输入的操作步骤如下:(1)建立第一个项目,单击图1.2中的File菜单图1.2建立新项目的屏幕将鼠标移到Project选项后,单击Name 选项,屏幕如图1.3所示。

在Project Name的输入编辑框中键入inv后,单击OK按钮,屏幕如图1.1所示;图1.3指定项目名的屏幕(2)在图1.2中单击File菜单后,单击New选项,屏幕如图1.4所示;图1.4选取文件类型屏幕(3)在图1.4中选择Graphic Edittor file (既其左边出现小黑圆点),单击OK按钮后,便进入到MAX + PLUS Ⅱ的图形编辑器,如图1.5所示;图1.5空白的图形编辑器(4)在图1.5的空白处双击鼠标左键,屏幕如图1.6所示;图1.6选择元件符号屏幕(5)在图1.6的Symbol Name 输入编辑框中键入NOT后,单击OK按钮。

此时可以看到光标上粘着被选的符号,将其移动的合适的位置单击鼠标左键,使其固定;(6)重复(4)、(5)两步,给图中放置一个input和output符号,如图1.7所示;图1.7放置了所有元件符号的屏幕(7)在图1.7中,将光标移到input右侧待连线处单击鼠标左键后,再移动光标到反相器的左侧单击鼠标左键,既可看到在input和反相器之间有一条线生成;(8)重复(7)的方法,将反相器和output 连接起来,完成所有连线的电路如图1.8所示;(9)在图1.8中,双击input-name使其衬底变黑后,再键入A,即命名该输入信号名为A,用相同的方法将输出信号命名为B;图1.8完成全部连线的屏幕(10)在图1.8中单击存文件按钮,屏幕如图1.9所示;图1.9欲保存文件前的屏幕(11)在图1.9中,检查File Name 的文本编辑框为inv.gdf (因为项目名为inv,故在缺省情况下,均是在项目名加不同的扩展名);(12)在图1.9中,单击OK按钮,屏幕如图1.8所示;(13)在图1.8中,单击编译器按钮(水平工具条左数第11个),屏幕如图1.10所示;(14)在图1.10中,单击Processing菜单,查看Timing SNF Extractor 选项,使其不被选中(既该行前无对号),处理完后,再回到图1.10的环境下;图1.10编译器屏幕(15)图1.10中,单击Assign/Device菜单,屏幕如图1.11所示;图1.11选择待编程芯片屏幕(16)完成如图1.11所示的选择后,单击OK按钮,再次回到图1.10的环境下;(17)在图1.10中,单击Start按钮后,计算机开始处理数据,其进度情况用一水平红线表示,结束后屏幕如图1.12所示;(18)在图1.12中,如果有“0 error”和“0 warning”字符出现,则表示编译完全通过,单击确定(OK)按钮后,屏幕显示如图1.10所示;(19)退出编译窗口,既在图1.10中单击“X”,屏幕显示如图1.8所示;图1.12完成编译后的屏幕(20)在图1.8中单击芯片编程按钮,屏幕显示如图1.13所示,若与图1.13所示不同,单击JTAG菜单,使所有选项前均无对号后,单击Option 菜单,进入Hardware Setup选项中做适当设置,无误后进行下一步;图1.13对芯片编程屏幕(21)在图1.13,单击Program 按钮(注意必须给正常供电实验板,既实验板上的电源指示灯点亮),编程期间实验板上的编程指示灯点亮,屏幕上的红色进度条不断地向右移动,编程完成后,屏幕如图1.14所示;图1.14编程完成的屏幕(22)在图1.14,单击OK按钮后,关闭编程窗口,既单击“X”,屏幕如图1.8所示;(23)在图1.8,单击层次显示器按钮,屏幕如图1.15所示;图1.15层次结构屏幕(24)在图1.15中,双击fit 图标,并适当移动垂直行条,屏幕如图1.16所示;图1.16芯片配置的屏幕(25)在图1.16中,信号A被自动分配为2脚,信号B被自动分配为17脚;(26)验证其逻辑功能。

数字系统设计实验指导书

数字系统设计实验指导书

目录目录 (I)第一章EDA实验板介绍 (1)第二章基于QuartusII的数字系统设计 (4)2.1 基于QuartusII的数字系统设计流程 (4)2.2 QuartusII软件使用介绍 (5)第三章实验内容 (28)3.1 设计性实验 (28)实验一、数字频率计的设计 (28)实验二、PCM基群帧同步检测电路设计与实现 (29)实验三、FIR滤波器的设计 (30)实验四、自动销售机的设计 (32)实验五:数字密码锁设计 (33)3.2 综合性实验 (35)实验一多功能秒表设计 (35)实验二、红外遥控接系统设计 (36)附录 (38)EDA实验板顶层图 (38)EDA实验板底层图 (39)EDA实验板原理图 (40)下载线原理图 (45)第一章EDA实验板介绍为配合设计性实验和综合性实验的顺利开展,华南理工大学自主开发了EDA实验平台,如图1-1所示。

该平台选用了Altera公司的CYCLONE1系列的EP1C6Q240C8为基本配置,一上电就可以工作,无需其他芯片配合。

该平台硬件资源丰富,主要包括电源稳压电路、流水灯、键盘、50M频率的晶振、4位七段数码管、FLASH、sdram、蜂鸣器、、USB接口、ps2接口、拨码开关等,各模块电路独立工作,可通过跳线设置是否与芯片连接。

此外,可以外接配套的电平开关转接板,扩充1到16路电平拨码开关,为芯片的任意管脚输入高低电平。

该实验平台配置灵活,能够完成多种实验和课程设计。

在实验的过程中,读者可以根据条件选择合适的硬件平台,书中第三章基础实验在进行管脚配置的时候是根据图1-1设定的,对于不同的实验平台,读者可以作出相应的修改。

图1-1 EDA实验平台顶层PCBEDA实验版功能模块分布如图1-2,读者可以根据本EDA实验版的功能结合配套资料进行FPGA的实验开发。

图1-2 EDA实验版结构框图EDA实验版的具体原理图,管脚配置关系见附件。

第二章基于QuartusII的数字系统设计2.1 基于QuartusII的数字系统设计流程Altera Quartus®II 设计软件提供完整的多平台设计环境,能够直接满足特定设计需要,为可编程芯片系统(SOPC) 提供全面的设计环境。

《数字系统设计》实验指导书及综合作业

《数字系统设计》实验指导书及综合作业

《数字系统设计》实验报告班级_______电信2班_________________ 姓名____潘俊俊_____________________ 学号___1309121091__________________实验1 组合电路的设计实验目的:熟悉ISE的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

实验内容:首先利用ISE完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出仿真波形。

实验程序:ENTITY mux21a ISPORT (a, b, s: IN BIT;Y: OUT BIT);END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a, b, s)BEGINIF s='0' THEN y<=a; ELSE y<=b;END IF;END PROCESS;END ARCHITECTURE one;实验结果:1、综合电路图2、 仿真时序图实验分析:在0—275ns 内,s=0, 则输出a 波形;在275—685ns 内,s=1,则输出b 波形;在685ns 以后,s=0, 则输出a 波形;仿真结果与实验要求一致,故仿真结果正确。

实验2 时序电路的设计实验目的:熟悉ISE的VHDL文本设计过程,学习简单时序电路的设计、仿真和测试。

实验任务:设计一个带使能输入及同步清0的增1/减1的3位计数器,并仿真。

实验程序:Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity up_down isPort(clk,rst,en,up: in std_logic;Sum: out std_logic_vector(2 downto 0);Cout: out std_logic);End;Architecture a of up_down isSignal count: std_logic_vector(2 downto 0);BeginProcess(clk,rst)BeginIf rst=’0’ thenCount<=(others=>’0’);Elsif rising_edge(clk) thenIf en=’1’ thenCase up isWhen ‘1’ => count<=count+1;When others =>count<=count-1;End case;End if;End if;End process;Sum<=count;Cout <=’1’ when en=’1’ and ((up=’1’ and count=7) or (up=’0’ and count=0)) else ‘0’;End;实验结果:1、综合电路图2、仿真时序图实验分析:当rst=’0’ ,输出被清零;否则(rst=1,en=1)当up=1时,输出count<=count+1,若up=0,输出count<=count-1。

2013-2014-1数字系统设计实验指导书(beta)

2013-2014-1数字系统设计实验指导书(beta)

数字系统设计实验指导书课程名称:数字系统设计实验性质:设计性、验证性指导教师:李明面向对象:2011级电子信息工程所有学生机电学院教学实验中心电子信息综合实验室实验一 bcd2seg译码器设计【实验目的】掌握CPLD/FPGA的开发软件Quartus II的使用流程;熟悉DE2-115开发板的结构,并会使用板上的拨码开关和七段数码管。

【实验仪器】PC机1台Quartus-II 软件1套DE2-115开发板1套,板载usb-blaster下载线。

【实验原理】使用altera公司的Quartus II软件可以在其公司的FPGA上实现各种任意数字逻辑的设计。

ALTERA公司的QuartusII是Altera公司的第四代EDA集成开发环境,它操作方便、功能强大,提供了原理图输入和HDL语言输入功能,在环境中可以完成编译、查错、设计驱动信号、逻辑功能模拟、时序功能模拟、对FPGA/CPLD芯片编程以及SOPC的设计开发等功能。

【实验内容】在DE2开发板上实现4位的二进制输入七段数码管显示的译码功能模块。

【实验步骤】本实验中利用VerilogHDL在FPGA里面设计一个与门,请同学们按照以下步骤操作:1.在e盘新建一个目录e:\fpga\experiment1,(如果此目录已经存在,则删除experiment1目录)用于存放本次实验中的设计文件。

2.按下图操作打开QuartusII 10.0软件3.弹出界面如下图所示,按下图选择选项,点击OK,如果没有弹出下面界面,跳至步骤5.4.弹出窗口如下图,点击OK5.弹出界面如下图,点击欢迎界面左上角的X关闭欢迎界面6.点击菜单File–〉New出现如下图,在空白处敲入代码,如下图所示。

(注意:这里的文件名必须和module的模块名一致,不包括扩展名!)8.在出现的对话框中按下图设置:点击Yes9.出现创建项目向导,见下图,点击next10.出现下面窗口,阅读一下说明,了解其含义,不要修改内容,点击next11.添加文件窗口,系统默认把刚才创建的文件加入到项目中了。

数字系统原理与设计实验指导手册

数字系统原理与设计实验指导手册

实验一 逻辑门功能测试及其应用研究一、目的(1) 学习掌握TTL 集成与非门的逻辑功能及主要参数测试方法; (2) 学习掌握三态门逻辑功能,了解“总线”结构的工作原理。

二、原理集成逻辑门是数字电路中应用十分广泛的最基本的一类器件,为了合理地使用和充分利用其逻辑功能,必须对它的主要参数和逻辑功能进行测试,本实验中采用TTL 中速四2输入与非门74LS00进行测试,74LS00与非门的内部电路和引脚排列如附录所示。

1. TTL 集成逻辑门电路主要技术参数 (1)传输特性各种类型的TTL 门电路,其传输特性大同小异,如图4-1-1所示,这是一条由理论分析所得到的曲线,实际的曲线,可用实验的方法求得,如用示波器扫描来获得,或者通过在输入端输入不同的直流电压,利用直流电压表逐点测量输出电压值的方法得到传输特性曲线。

1.0I图4-1-1 电压传输特性(2)输入和输出的高、低电压数字电路中的高、低电压常用高、低电平来描述,并规定在正逻辑体制中,用逻辑0与1分别表示高、低电平。

作为门电路的技术参数常用高、低电压表示,以V 为单位进行量化,有利于具体应用。

由于不同类型的TTL 器件,其I O v v 特性各不相同,因而其输入和输出高、低电压也各异。

74LS00与非门的输入和输出的高、低电压可由其电压传输特性得出。

(3)传输延迟时间传输延迟时间是表征门电路开关速度的参数,它意味着门电路在输入脉冲波形的作用下,其输出波形相对于输入波形延迟了多少时间。

一般采用平均传输延迟时间pd t 表示,它是一个瞬态参数,它是指与非门输出波形边沿的0.5Vm 点相对于输入波形对应边沿的0.5Vm 点的时间延迟,如图4-1-2所示,其中pdL t 为导通延迟时间,pdH t 为截止延迟时间。

则门电路的平均延迟时间为()/2pd pdL pdH t t t =+。

平均延迟时间是衡量门电路开关速度的一个重要指标,按平均延迟时间的不同,TTL 门电路有中速、高速和超高速之分,一般中速门电路的pd t 为10ns~50ns ,高速为2ns~10ns ,超高速pd t ≤2ns 。

数字系统设计大作业

数字系统设计大作业
--signal e:std_logic_vector(3 downto 0);
begin
ba<=b&a; --ba<=e&a;b<=e;
process(a,clk)
begin
-- if rising_edge(clk) then c<=c+1;
--case c is
-- when "00"=>e<="0111";when "01"=>e<="1011";
图6-2(a)时序仿真初始图
图6-2(b)时序仿真结果图
具体分析:
如图6-2(b)所示,
(1)当a=0111,b=1110时,对应输出s=0000,此时对应键盘上的S0,输出信息为1;
(2)当a=0111,b=1101时,对应输出s=0001,此时对应键盘上的S2,输出信息为2;
(3)当a=1101,b=1001时,对应输出s=1001,此时对应键盘上的SA,输出信息为9.
1011
1101
9
1010
0111
1101
#
1011
1110
1110
A
1100
1101
1110
B
1101
1011
1110
C
1110
0111
1110
D
1111
3)译码显示模块
该模块包括输出低电平与LED灯显示。因为实验箱的LED灯是低电平有效,所以需要把输出变量r进行逻辑“非”变换。该部分采用了4个非门,分别将输入的4位扫描模块输出变量取反。LED灯的亮灭表示输出变量r。r为高电平时灯亮,r为低电平时灯灭,这样就能更清晰地显示出实验结果,使人一目了然。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《数字系统设计》
实验报告
班级:13级电子信息
姓名:
学号:
指导老师:
实验1 组合电路的设计
实验目的:
熟悉ISE的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

实验内容:
首先利用ISE完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出仿真波形。

实验程序:
ENTITY mux21a IS
PORT (a, b, s: IN BIT;
Y: OUT BIT);
END ENTITY mux21a;
ARCHITECTURE one OF mux21a IS
BEGIN
PROCESS (a, b, s)
BEGIN
IF s='0' THEN y<=a; ELSE y<=b;
END IF;
END PROCESS;
END ARCHITECTURE one;
实验结果:
1、综合电路图
2、仿真时序图
实验分析:从实验程序可知,实体部分定义了输入与输出端口;结构体部分根据语句排列的先后顺序,用关键词IF引导,首先通过条件语句的判断表达式(s='0')做判断,若满足
条件,即s为低电平,于是执行赋值语句y<=a,即将a端口的数据向y输出;否则(即ELSE ),即s为高电平,则执行赋值语句y<=b。

在仿真时序图中,我们已经将a,b,s进行了赋值,以s为基准分析输出结果如下:
在0~100ns內,s=0,则执行输出为y=a;
在100~200ns内,s=1,则输出y=b;
在200~400ns内,s=0,则输出y=a;(其后与此类似)
验证一下,输出确实满足实验要求,故仿真结果正确。

实验2 时序电路的设计
实验目的:
熟悉ISE的VHDL文本设计过程,学习简单时序电路的设计、仿真和测试。

实验任务:
设计一个带使能输入及同步清0的增1/减1的3位计数器,并仿真。

实验程序:
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_unsigned.all;
Entity up_down is
Port(clk,rst,en,up: in std_logic;
Sum: out std_logic_vector(2 downto 0);
Cout: out std_logic);
End;
Architecture a of up_down is
Signal count: std_logic_vector(2 downto 0);
Begin
Process(clk,rst)
Begin
If rst=’0’ then
Count<=(others=>’0’);
Elsif rising_edge(clk) then
If en=’1’ then
Case up is
When ‘1’ => count<=count+1;
When others =>count<=count-1;
End case;
End if;
End if;
End process;
Sum<=count;
Cout <=’1’ when en=’1’ and ((up=’1’ and count=7) or (up=’0’ and count=0)) else ‘0’;
End;
实验结果:
1、综合电路图
2、仿真时序图
实验分析:从试验程序可以知道,该程序要实现一个带使能输入及同步清0的增1/减1的3位计数器。

当rst=’0’ ,输出被清零,否则(即rst=1)且使能端en=1时开始进行计数。

计数规则如下,(前提条件rst=1,en=1)当up=1时,输出count<=count+1,否则(即up=0)输出count<=count-1。

这里为了方便分析,我们将0~82ns的时间内rst=0,之后的时间为高电平。

所以,在82ns以后,我们以“up”的值为基准,并结合clk进行实验结果的分析:
在0~82ns内,rst=0,输出count=0;
之后,在第一个clk上升沿,en=1,up=1,执行count<=count+1,,count从0增加到1;
在第2,3个clk上升沿时,en=0,保持当前值1;
在第3个上升沿时,en=1,up=1,执行count<=count+1,count输出加1,为2;第4个上升沿时,同理计数加1,为3.
综上分析,实验仿真结果显然满足实验要求,故仿真结果正确。

实验3 8-3优先编码器的VHDL设计
实验目的:
1、通过常见基本组合逻辑电路的设计,熟悉EDA设计流程。

2、熟悉文本输入及仿真步骤。

3、掌握VHDL设计实体的基本结构及文字规则。

4、理解硬件描述语言和具体电路的映射关系。

实验原理:
表8-3优先编码器真值表
实验源程序
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY ENCODER IS
PORT(
D:IN STD_LOGIC_VECTOR(0 TO 7);
A:OUT STD_LOGIC_VECTOR(0 TO 2)
);
END ;
ARCHITECTURE XIANI OF ENCODER IS
BEGIN
PROCESS(D)
BEGIN
IF (D(7)='0')THEN A<="111";
ELSIF (D(6)='0')THEN A<="110";
ELSIF (D(5)='0')THEN A<="101";
ELSIF (D(4)='0')THEN A<="100";
ELSIF (D(3)='0')THEN A<="011";
ELSIF (D(2)='0')THEN A<="010";
ELSIF (D(1)='0')THEN A<="001";
ELSIF (D(0)='0')THEN A<="000";
ELSE A<="ZZZ";
END IF;
END PROCESS;
END;
实验结果
1、综合电路图
2、仿真波形
实验分析:该试验程序要实现的是一个8-3优先编码器,且优先级别是:
D(7)>D(6)>D(5)>D(4)>D(3)>D(2)>D(1)>D(0)(低电平有效)。

根据程序和时序仿真图进行分析:(高电平为“1”,低电平为“0”)
在0~200ns内,D(7)=0,则输出A=111,对应二进制为7;
在200~300ns内,D(7)=1,D(6)=0,则输出A=110,对应二进制为6;
在300~600ns内,D(7)=D(6)=1,D(5)=0,则输出A=101,对应二进制为5;
在600ns以后,D(7)=0,则输出A=111,对应二进制为7.
综上分析,仿真结果满则实验要求,显然仿真结果正确。

相关文档
最新文档