第13章 等精度数字频率计的
等精度数字频率计的设计
等精度数字频率计的设计(Design of equal precision digital frequency meter)作者:李欢(电子工程学院光信息科学与技术 1103班)指导教师:惠战强摘要:伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。
电子设计自动化是一种实现电系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。
数字频率计是一种基本的测量仪器。
它被广泛应用于航天、电子、测控等领域。
采用等精度频率测量方法具有测量精度保持恒定,不随所测信号的变化而变化的特点。
本文首先综述了EDA技术的发展概况,FPGA/CPLD开发的涵义、优缺点,VHDL语言的历史及其优点,然后介绍了频率测量的一般原理。
关键字:电子设计自动化;VHDL语言;频率测量;数字频率计AbstractThe Electronic Design Automation (EDA) technology has become an important design method of analog and digital circuit system as the integrated circuit's growing. The EDA technology, which is closely connected with the electronic technology, microelectronics technology and computer science, can be used in designing electronic product automatically.Digital frequency meter is a basic measuring instruments. It is widely used in aerospace, electronics, monitoring and other fields. With equal precision frequency measurement accuracy to maintain a constant, and not with the measured signal varies.We firstly present some background information of EDA, FPGA/CPLD and VHDL;then introduced the general principle of frequency measurement. Keywords: Electronic Design Automation,VHDL, Frequency measurement,digital frequency meter.目录摘要................................................... 错误!未定义书签。
等精度频率计
end shuchu;
architecture a of shuchu is
begin
process (data1,data2,data3,data4,data5,data6,data7,data8,data9,data10)
signal c: std_logic;
begin
process (clk,nr)
begin
if nr = '1' then
f<=0;c<='0';
elsif (clk'event and clk = '1')then
if f<9999 then
f<=f+1;
else
f<=0;
c<= not c;
end if;
关键字:
频率、周期、标准时钟、乘除运算、分频、十进制显示、锁存
方案比较:
(1)用单片机实现:
等精度数字频率计涉及到的计算包括加,减,乘,除,耗用的资源比较大,用一般中小规模CPLD/FPGA芯片难以实现。因此,我们选择单片机和CPLD/FPGA的结合来实现。电路系统原理框图如图所示,其中单片机完成整个测量电路的测试控制,数据处理和显示输出;CPLD/FPGA完成各种测试功能;键盘信号由AT89C51单片机进行处理,它从CPLD/FPGA读回计数数据并进行运算,向显示电路输出测量结果;显示器电路采用七段LED动态显示,由8个芯片74LS164分别驱动数码管。
为了节省资源改进了除法器进制转化模块,说明如下:
被除数
序号
10进制
等精度测频法的频率计设计
摘要摘要频率测量是电子学测量中最为基本的测量之一。
频率计主要是由信号输入和放大电路、单片机模块、分频模块及显示电路模块组成。
AT89C52单片机是频率计的控制核心,来完成它待测信号的计数,译码,显示以及对分频比的控制。
利用它内部的定时/计数器完成待测信号频率的测量。
在整个设计过程中,所制作的频率计采用外部分频,实现1Hz-1kHz的频率测量及1ms-100ms的脉宽测量,而且可以实现量程自动切换流程。
以AT89C52单片机为核心,通过单片机内部定时/计数器的门控时间,方便对频率计的测量。
其待测频率值使用LCD液晶显示器显示,并可以自动切换量程。
本次采用单片机技术设计一种数字显示的频率计,具有测量准确度高,响应速度快,体积小等优点。
关键词:频率计,单片机,LCD液晶显示器。
I西安交通大学城市学院本科生毕业设计(论文)I IABSTRACTABSTRACTFrequency measurement is one of the most basic measurement electronics measurement. Frequency counter is dominated by the signal input and the amplifier circuit, microcontroller module, frequency module and the display circuit module. AT89C52 microcontroller is to control the core frequency meter to complete its measured signal counting, decoding, display and control divider ratio. Use its internal timer / counter to complete the test signal frequency measurements.Throughout the design process, by making use of external parts of the frequency meter frequency to achieve frequency measurement and pulse width measurement 1ms-100ms of 1Hz-1kHz, and can realize automatic range switching processes. To AT89C52 microcontroller as the core, through the internal microcontroller timer / counter gate time, easy measurement of the frequency meter. Its measured frequency value using the LCD display, and can automatically switch range. The use of microcomputer technology to design a digital display of frequency meter, have a measurement of high accuracy, fast response, small size and so on.KEYWORDS: Frequency meter, Single chip, LCD display.III西安交通大学城市学院本科生毕业设计(论文)I V目录目录1 绪论 (1)1.1 数字频率计简介 (1)1.2 单片机系统的研究现状 (2)1.3 频率计的研究现状 (2)1.4设计的技术要求和主要内容 (3)2 等精度频率计的原理与应用 (5)2.1 等精度频率计测量的原理 (5)2.2 脉冲宽度的测量方法 (6)2.3 等精度频率计的误差分析 (6)2.4 本章小结 (8)3 硬件电路设计 (9)3.1单片机周边电路 (9)3.2 51单片机及AT89C52介绍 (9)3.2.1 单片机简介 (9)3.2.2 AT89C52简介 (10)3.2.3 管脚说明 (11)3.2.4 AT89C52主要性能 (13)3.3 各部分电路图及电路工作原理分析 (13)3.3.1 时钟脉冲电路 (13)3.3.2 同步门逻辑控制电路 (14)3.3.3 LCD显示电路 (15)3.3.4 复位电路 (17)4 软件设计 (19)4.1 KEIL51软件简介 (19)4.2 软件的模块化设计及各部说明 (19)4.2.1 定时中断模块 (19)4.2.2 测量模块 (20)4.2.3 显示模块 (20)V西安交通大学城市学院本科生毕业设计(论文)V I 4.2.4 软件流程图 (20)5 系统仿真与调试 (21)5.1 Proteus软件简介 (21)5.2 Proteus的电路仿真 (22)5.3误差分析 (24)总结 (27)致谢 (29)参考文献 (31)附录 (33)1 程序 (33)2 附图 (41)3 外文翻译 (44)绪论1 绪论1.1 数字频率计简介数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。
数字频率计
摘要在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。
在计算机及各种数字仪表中,都得到了广泛的应用。
在CMOS电路系列产品中,数字频率计是用量最大、品种很多的产品,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。
本课题主要选择以集成芯片作为核心器件,设计了一个简易数字频率计,以触发器和计数器为核心,由信号输入、隔直,触发、计数、数据处理和数据显示等功能模块组成。
放大整型电路:对被测信号进行预处理;闸门电路:由555构成的多谢振荡器构成一个秒信号,攫取单位时间内进入计数器的脉冲个数;时基信号:产生一个秒信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间内脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。
设计中采用了模块化设计方法,采用适当的放大和整形,提高了测量频率的范围。
关键词:555构成的多谐振荡器、CD4017、CD40110、七段LED显示管第一章简介1.1功能及特点设计的数字频率计可测量正弦波、三角波、方波、尖脉冲及其他各种周期性信号;测量信号幅值范围为0.5~5V;频率范围为1KHZ~10KHZ;测量误差为0.1%;能进一步扩展为可测1MHz的频率计数器。
1.2应用意义随着微电子技术和计算机技术的飞速发展, 各种电子测量仪器在原理、功能、精度及自动化水平等方面都发生了巨大的变化,在电子测量技术中,频率是最基本的参数之一,它与许多电参量和非电量的测量都有着十分密切的关系。
例如,许多传感器就是将一些非电量转换成频率来进行测量的,因此频率的测量就显得更为重要。
数字频率计是用数字来显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。
第二章设计指标和方案论证2.1设计指标1整体功能要求频率计主要用于测量正弦波、三角波、方波和尖脉冲及其他各种周期信号的频率值。
等精度法测频率计的原理
等精度法测频率计的原理引言:等精度法测频率计是一种常用的频率测量方法,它利用稳定的参考信号与待测信号进行比较,从而精确地测量待测信号的频率。
本文将介绍等精度法测频率计的原理及其工作流程。
一、原理概述:等精度法测频率计的原理基于频率比较技术,通过将待测信号与参考信号进行相位比较,从而得到待测信号的频率信息。
其核心思想是将待测信号与参考信号进行周期性的比较,通过计算比较结果的平均值来消除测量误差,从而提高测量精度。
二、工作流程:等精度法测频率计的工作流程主要包括以下几个步骤:1. 产生参考信号:首先需要产生一个稳定的参考信号,通常使用高稳定度的晶振或标准频率源作为参考信号源。
2. 将待测信号与参考信号进行比较:待测信号与参考信号经过相位比较,得到相位差信息。
3. 相位差计算:通过测量待测信号与参考信号的相位差,可以计算出待测信号的周期。
4. 周期计算:根据相位差计算出的周期信息,可以得到待测信号的频率。
5. 精度提高:为了提高测量精度,需要进行多次测量并取平均值,以消除测量误差。
三、关键技术说明:等精度法测频率计的实现需要借助一些关键技术,包括:1. 相位锁定技术:通过将待测信号与参考信号进行相位锁定,可以确保两者相位一致,从而实现准确的相位比较。
2. 周期计数器:周期计数器用于测量待测信号与参考信号的相位差,通过计数器的计数结果可以得到待测信号的周期信息。
3. 数字信号处理:为了提高测量精度,可以利用数字信号处理技术对测量结果进行滤波、平均等处理,以消除噪声和提高信号质量。
四、优缺点分析:等精度法测频率计具有以下优点:1. 高精度:通过多次测量并取平均值的方法,可以消除测量误差,提高测量精度。
2. 稳定性好:利用稳定的参考信号进行比较,可以保证测量结果的稳定性。
3. 适用范围广:等精度法测频率计适用于各种频率范围的信号测量,包括低频、中频和高频等。
然而,等精度法测频率计也存在一些缺点:1. 对参考信号要求高:由于等精度法测频率计依赖于参考信号的稳定性,因此对参考信号的要求比较高。
什么是数字频率计它在测量仪器中的应用有哪些
什么是数字频率计它在测量仪器中的应用有哪些数字频率计是一种用于测量信号频率的仪器,它可以精确地测量各种周期性信号的频率,并且在不同领域有广泛的应用。
本文将介绍数字频率计的原理和测量方法,并探讨它在不同测量仪器中的应用。
一、数字频率计的原理数字频率计是基于现代计算机和数字信号处理技术的一种测量仪器。
它通过对输入信号进行数字化处理,获得信号的周期或脉冲宽度,并由此计算出信号的频率。
数字频率计的工作原理可以简化为以下几个步骤:首先,将输入信号通过模数转换器(ADC)转换成数字信号;然后,通过计数器对数字信号进行计数,以获得信号的周期或脉冲宽度;最后,根据信号的周期或脉冲宽度计算出信号的频率,并显示在数字频率计的显示屏上。
二、数字频率计的测量方法数字频率计可以使用不同的测量方法获得准确的频率值,其中常见的方法包括时间测量法、周期测量法和脉冲宽度测量法。
1. 时间测量法时间测量法是最常用的数字频率计测量方法之一。
它通过测量信号周期内的时间来计算频率。
该方法适用于周期性信号,如正弦波、方波等。
时间测量法的基本原理是:首先,将输入信号信号与参考时间间隔进行比较,以判断信号周期的整数倍;然后,使用高精度时钟计数器测量信号周期内的时间,最后根据测得的时间计算出信号的频率。
2. 周期测量法周期测量法适用于脉冲信号或周期性信号。
它通过测量脉冲宽度或信号的占空比来计算频率。
周期测量法的基本原理是:首先,测量脉冲信号或周期性信号的周期或脉冲宽度;然后,根据测得的周期或脉冲宽度计算信号的频率。
3. 脉冲宽度测量法脉冲宽度测量法适用于脉冲信号。
它通过测量脉冲信号的宽度来计算频率。
脉冲宽度测量的基本原理是:首先,检测脉冲信号的上升沿和下降沿;然后,测量脉冲信号上升沿和下降沿之间的时间差,即脉冲信号的宽度;最后,根据脉冲信号的宽度计算信号的频率。
三、数字频率计在测量仪器中的应用数字频率计在各个领域的测量仪器中有广泛的应用,下面将介绍几个主要的应用领域。
等精度频率计课程设计
等精度频率计课程设计一、课程目标知识目标:1. 学生能理解等精度频率计的基本原理,掌握频率、周期及其相互关系。
2. 学生能够运用已学过的电子元件知识,识别并说明等精度频率计中的关键电子元件及其作用。
3. 学生能够掌握等精度频率计的测量步骤和方法,并进行简单的数据处理。
技能目标:1. 学生能够通过小组合作,动手搭建简单的等精度频率计电路。
2. 学生能够运用等精度频率计进行实验测量,准确读取并记录数据。
3. 学生能够通过实验数据分析,解决实际问题,提升解决问题的能力。
情感态度价值观目标:1. 学生通过等精度频率计的学习,培养对物理科学的兴趣,提高探究精神和创新意识。
2. 学生在小组合作中,学会相互尊重、沟通协作,培养团队合作精神。
3. 学生通过实验认识到精确测量在实际应用中的重要性,培养严谨的科学态度和良好的实验习惯。
课程性质:本课程为电子技术及应用学科的教学内容,结合学生年级特点,注重理论知识与实践操作的相结合。
学生特点:学生处于高中阶段,具有一定的物理知识和电子元件基础,对实验操作感兴趣,但需进一步引导和培养实验技能。
教学要求:教师应注重理论与实践相结合,引导学生通过小组合作、实验探究等形式,提高学生的实践能力和创新能力。
在教学过程中,关注学生的个体差异,鼓励学生积极参与,使每位学生都能在课程中取得实际的学习成果。
通过课程目标的实现,为后续相关课程的学习打下坚实基础。
二、教学内容本课程教学内容主要围绕等精度频率计的原理、电路搭建、测量方法及数据处理等方面展开。
1. 理论知识:- 频率、周期概念及其关系- 等精度频率计的工作原理- 关键电子元件(如晶体振荡器、计数器、时钟等)的作用2. 实践操作:- 搭建等精度频率计电路- 实验操作步骤及注意事项- 数据的采集、处理与分析3. 教学大纲:- 第一章:等精度频率计基本原理1.1 频率与周期的关系1.2 等精度频率计的工作原理- 第二章:关键电子元件2.1 晶体振荡器的特性与应用2.2 计数器与时钟的作用- 第三章:实验操作与数据处理3.1 电路搭建与调试3.2 实验步骤与注意事项3.3 数据采集、处理与分析4. 教学进度安排:- 理论知识学习:2课时- 实践操作与实验:4课时- 数据处理与分析:2课时教学内容根据课程目标进行科学组织和系统安排,确保学生能够循序渐进地掌握等精度频率计的相关知识。
数字频率计的基本原理
资料范本本资料为word版本,可以直接编辑和打印,感谢您的下载数字频率计的基本原理地点:__________________时间:__________________说明:本资料适用于约定双方经过谈判,协商而共同承认,共同遵守的责任与义务,仅供参考,文档可直接下载或修改,不需要的部分可直接删除,使用时请详细阅读内容数字频率计的基本原理设计并制作出一种数字频率计,其技术指标如下:1.频率测量范围: 10 ~ 9999Hz 。
2.输入信号波形:任意周期信号。
输入电压幅度 >300mV 。
3.电源: 220V 、 50Hz4. 系统框图从数字频率计的基本原理出发,根据设计要求,得到如图1所示的电路框图。
图1 数字频率计框图下面介绍框图中各部分的功能及实现方法(1)电源与整流稳压电路框图中的电源采用50Hz的交流市电。
市电被降压、整流、稳压后为整个系统提供直流电源。
系统对电源的要求不高,可以采用串联式稳压电源电路来实现。
(2)全波整流与波形整形电路本频率计采用市电频率作为标准频率,以获得稳定的基准时间。
按国家标准,市电的频率漂移不能超过0.5Hz,即在1%的范围内。
用它作普通频率计的基准信号完全能满足系统的要求。
全波整流电路首先对50Hz交流市电进行全波整流,得到如图2(a)所示100Hz的全波整流波形。
波形整形电路对100Hz信号进行整形,使之成为如图2(b)所示100Hz的矩形波。
波形整形可以采用过零触发电路将全波整流波形变为矩形波,也可采用施密特触发器进行整形。
图2 全波整流与波形整形电路的输出波形(3)分频器分频器的作用是为了获得1S的标准时间。
电路首先对图2所示的100Hz信号进行100分频得到如图3(a)所示周期为1S的脉冲信号。
然后再进行二分频得到如图3(b)所示占空比为50%脉冲宽度为1S的方波信号,由此获得测量频率的基准时间。
利用此信号去打开与关闭控制门,可以获得在1S时间内通过控制门的被测脉冲的数目。
等精度频率计的设计
1.软件条件Microsoft windows XPMAX+plusⅡ10.02.软件简介MAX+plusⅡ简介:MAX+plus2(Multiple array matrix and programmable logic user system)是一个完全集成化、易学易用的可编程逻辑设计环境,它可以在多种平台上运行,其图形界面丰富,加上完整的、可即时访问的在线文档,使设计人员可以轻松地掌握软件的使用。
MAX+plus2开发系统有很多特点:(1)界面开放MAX+plus2是Altera 公司的EDA软件,但它可以与其它工业标准的设计输入、综合与校验工具相连接,设计人员可以使用Altera或标准EDA工具设计输入工具来建立逻辑设计,用MAX+plus2 编译器(Compiler)对Altera器件设计进行编译,并使用Altera或其它EDA校验工具进行器件或板级仿真。
目前,MAX+plus2支持与Candence、Exemplarlogic、Metor Graphics、Synopsys、Synplicity、Viewlogic 等公司所提供的EDA 工具接口。
(2)与结构无关MAX+plus2系统的核心Compiler 支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000 和Classic 可遍程逻辑器件系列,提供了与结构无关的可编程逻辑环境。
MAX+plus2的编译器还提供了强大的逻辑综合与优化功能,使用户可以容易地把设计集成到器件中。
(3)丰富的设计库MAX+plus2 提供丰富的库单元供设计者调用,其中包括74 系列的全部器件和其它多种逻辑功能部件,调用库元件进行设计,可以大大减轻设计人员的工作量,缩短设计周期。
(4)模块化工具设计人员可以从各种设计输入、处理和校验选项中进行选择,从而使MAX+plus2可以满足不同用户的需求,根据需要,还可以添加新功能。
等精度频率计的设计
等精度频率计的设计一、实验原理基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,即测量精度随被测信号的频率的变化而变化,在实用中有较大局限性,而等精度频率计不但具有较高的测量精度,且在整个频率区域能保持恒定的测试精度。
设计项目可达到的指标如下:频率测试功能:测频范围0.1Hz~100Hz。
测频精度:测频全域相对误差恒为百万分之一。
脉宽测试功能:测试范围:0.1us~1s,测试精度1%~99%。
占空比测试功能:测试(显示)精度1%~99%。
相位测试功能:测试范围0~360度,测试精度0.2度。
主系统组成等精度频率计的主系统由六个部分组成:信号整形电路。
用于对待测信号进行放大和整形,以便作为PLD器件的输入信号。
测频电路。
是测频的核心模块,可以由FPGA器件担任。
100MHz的标准频率信号源(可通过PLL倍频所得)进入FPGA.。
单片机电路模块。
用于控制FPGA的测频操作和读取测频数据,并作出相应数据处理。
安排单片机的P0口读取测试数据,P2口向FPGA发控制命令。
键盘模块。
可以用5个键执行测试控制,一个是复位键,其余是命令键。
数码显示模块。
可以用7个数码管显示测试结果,最高可表示百万分之一的精度。
考虑到提高单片机IO口的利用率,降低编程复杂性,提高单片机的计算速度以及降低数码显示器对主系统的干扰,可以采用串行静态显示或液晶显示方式。
主系统组成测频原理等精度测频原理为:“预置门控信号”CL由单片机发出,可以证明,在1秒至0.1秒间的选择范围内,CL的时间宽度对测频精度几乎没有影响,在此设其T。
BZH和TF模块是两个可控的32位高速计数器,BENA和ENA分别是宽度为p它们的计算允许信号端,高电平有效。
标准频率信号从BZH 的时钟输入端BCLK 输入,设其频率为s F ;经整形后的被测信号从与BZH 相似的32位计数器TF 的时钟输入端TCLK 输入,设其真实频率值为xe F ,被测频率为x F 。
数字频率计简介
数字频率计简介计数器可以对数字进行运算,它是一种没有办法显示计算结果的显示器。
计数器又称智能计数器,智能计数器是运用数字电路技术数出给定时间内通过的脉冲数并且显示计数结果的数字化仪器,智能计数器是数字化仪器的基础,而数字频率计也属于智能计数器的一种。
计数器的种类有多钟,按功能用途不一样可分为通用计数器、频率计数器、计算计数器、微波计数器等,但以数字形式显示频率值被称为数字频率计。
数字频率计运用数字电路技术并能实现对周期性变化信号频率测量的仪器,它是一种数字化仪器。
数字频率计是指电子计数式频率计,可用作测量正弦波,矩形波,三角波和尖脉冲等周期信号的频率值,还可以用作测量信号周期和脉冲宽度。
在数字电路和集成电路的发展应用下,计数器的应用也越来越广泛,运用数字频率计,测量频率精度高、显示直观、测量快速。
数字频率计能直接把计数单位,在规定时间内被测信号的脉冲数以数字形式显示频率值,这种方法测量精度高、快速,适合不同频率、不同精确度测频的需求。
数字计数式频率计基本原理如图所:数字频率计作为一种基本的测量仪器,以其测量精度高、速度快、操作简便、数字显示等特点被广泛应用于电子技术领域。
SYN5636型通用计数器是以数字形式显示频率值,并且按照《JJG 349-2014通用计数器检定规程》研发生产的时频测试仪器,性能可靠、功能全、精度高、范围宽、灵敏度高、动态范围广,性价比高使用方便,可以应用于航空航天、导弹、武器等领域的时间测量和晶振,可以应用于电子元器件、科研、计量领域的时间、频率测量。
数字频率计可以测量许多物理量,例如温度、压力、流量、液位、PH值、振动、位移、速度等通过传感器转换成信号频率,数字频率计和微处理器组成的设备,可以实现测量仪器的多功能化、程控化和智能化。
在科技的不断发展下,数字式频率计所组成的设备,测量仪器、控制设备、实时监测系统等已经应用到了各个领域中。
本文章版权归西安同步所有,尊重原创,严禁洗稿,未经授权,不得转载,版权所有,侵权必究!。
数字频率计的原理
process(clk0)
begin
if (clk0 'event and clk0='1')then
if a=9999999 then
a<=0;
else a<=a+1;
end if;
case a is when 0 to 4999999=>cp<='1';
if a=49 then
a<=0;
else a<=a+1;
end if;
case a is when 0 to 24=>cp<='1';
when 25 to 49=>cp<='0';
end case;
end if;
数字频率计原理
clr<='1' ;
when 0=>en<='1';
load<='0';
clr<='0';
end case;
end if;
end latch;
architecture Behavioral of latch is
signal q_tmp: std_logic_vector (23 downto 0);
begin
process (oe,g)
begin
if oe='0' then
if g'event and g='1' then
else q_tmp<=q_tmp+1;
数字频率计的课程设计
数字频率计的课程设计
课程名称:数字频率计的设计与实现
课程目的:
通过本课程的学习,使学生掌握数字频率计的基本原理、设计与实现方法。
课程内容:
1. 数字频率计的基本原理
介绍数字频率计的基本原理,包括计数器、时基、频率计算器等组成部分。
2. 数字频率计的设计
介绍数字频率计的设计方法,包括硬件电路设计和软件设计。
3. 显示模块的设计
介绍数字频率计的显示模块设计,包括数码管的选择、驱动电路的设计等。
4. 实验操作
通过实验操作,让学生掌握数字频率计的实现方法。
课程评估:
通过课程设计、作业、实验操作等多种方式对学生进行综合评估。
教材:
(1)《数字频率计及其应用》
(2)《数字电路与逻辑设计》
(3)相关论文和资料。
等精度数字频率计设计
毕业设计(论文)题目:等精度数字频率计的设计Title: Equal Precision Frequency Meter Plan姓名:梁森专业:电子信息工程学号: 07061234指导教师:陈坚二零一一年六月摘要频率检测是电子测量领域的最基本也是最重要的测量之一。
频率信号抗干扰能力强、易于传输,可以获得较高的测量精度,所以测频率方法的研究越来越受到重视。
本课题的等精度数字频率计设计,采用当今电子设计领域流行的EDA技术,以CPLD为核心,配合AT89C51单片机,采用多周期同步测频原理,实现了0.1Hz-50MHz信号频率的等精度频率测量,此外,该系统还可以测方波信号宽度及高、低电平的占空比。
基于传统测频原理的频率计的测量精度将随着被测信号频率的下降而降低,在实用中有很大的局限性,而等精度频率计不但有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。
运用等精度测量原理,结合单片机技术设计了一种数字频率计,由于采用了屏蔽驱动电路及数字均值滤波等技术措施,因而能在较宽定的频率范围和幅度范围内对频率,周期,脉宽,占空比等参数进行测量,并可通过调整闸门时间预置测量精度。
选取的这种综合测量法作为数字频率计的测量算法,提出了基于CPLD 的数字频率计的设计方案。
给出了该设计方案的实际测量效果,证明该设计方案切实可行,能达到较高的频率测量精度。
设计中用一块复杂可编程逻辑器件CPLD(Complex Programmable Logic Device)芯片EPM7128SLC84-15完成各种时序逻辑控制、计数功能。
在Quartus II平台上,用VHDL语言编程完成了CPLD的软件设计、编译、调试、仿真和下载。
用AT89C51单片机作为系统的主控部件,实现整个电路的测试信号控制、数据运算处理、键盘扫描和控制数码管的显示输出。
系统将单片机AT89C51的控制灵活性及CPLD芯片的现场可编程性相结合,不但大大缩短了开发研制周期,而且使本系统具有结构紧凑、体积小,可靠性高,测频范围宽、精度高等优点。
等精度数字频率计
等精度数字频率计测量方法:一、测频原理所谓“频率”,就是周期性信号在单位时间变化的次数。
电子计数器是严格按照f=N/T的定义进行测频,其对应的测频原理方框图和工作时间波形如图1 所示。
从图中可以看出测量过程:输入待测信号经过脉冲形成电路形成计数的窄脉冲,时基信号发生器产生计数闸门信号,待测信号通过闸门进入计数器计数,即可得到其频率。
若闸门开启时间为T、待测信号频率为fx,在闸门时间T内计数器计数值为N,则待测频率为:fx = N/T若假设闸门时间为1s,计数器的值为1000,则待测信号频率应为1000Hz或1.000kHz,此时,测频分辨力为1Hz。
图1 测频原理框图和时间波形二、方案设计2.1总体方案设计等频率计测频范围1Hz~100MHz,测频全域相对误差恒为百万分之一,故由此系统设计提供100MHz作为标准信号输入,被测信号从tclk端输入,由闸门控制模块进行自动调节测试频率的大小所需要的闸门时间,这样可以精确的测试到被测的频率,不会因闸门开启的时间快慢与被测频率信号变化快慢而影响被测频率信号导致误差过大,被测信号输入闸门控制模块后,在闸门控制模块开始工作时使encnt端口输出有效电平,encnt有效电平作用下使能标准计数模块(cnt模块)和被测计数模块(cnt模块),计数模块开始计数,直到encnt 重新回到无效电平,计数模块就将所计的数据送到下一级寄存模块,在总控制模块的作用下,将数据进行load(锁存),然后寄存器里的数据会自动将数据送到下一模块进行数据处理,最后送到数码管或者液晶显示屏(1602)进行被测信号的数据显示。
闸门、计数、寄存的总控制模块2.2理论分析采用等精度测量法,其测量原理时序如图1所示从图1中可以得到闸门时间不是固定的值,而是被测信号的整周期的倍数,即与被测信号同步,因而,不存在对被测信号计数的±1 误差,可得到:变形后可得:对上式进行微分,可得:由于 dn=± 1 ,因而可推出:从式(5)可以看出:测量误差与被测信号频率无关,从而实现了被测频带的等精度测量;增大T或提高fs可以提高测量精度;标准频率误差为dfs/fs,因为晶体的稳定度很高,再加上FPGA核心芯片里集成有PLL锁相环可对频率进一步的稳定,标准频率的误差可以进行校准,校准后的标准误差便可以忽略。
《等精度测频原理》PPT课件
• 频率测量方法:若在一定时间间隔T(也 称闸门时间)内测得一个周期性信号的 重复变化次数为N,则其频率可表示为 f=N/T --直接测频法
• 若时间间隔T取1s,则f=N。
• 测量准确度:设待测信号脉冲周期为Tx, 频率为Fx,当测量时间为T=1s时,测量 准确度为δ=Tx/T=1/Fx。
控制信号时序关系
测频实现框图
直接测频法的实现
• 被测频率通过一个拨动开关来选择是使 用系统中的数字时钟源模块的时钟信号 还是从外部输入一个信号进行频率测量。
• 当拨动开关为高电平时,测量从外部输 入的信号,否则测量系统数字时钟信号 模块的数字信号。
直接测频法的实现
• 在设计频率计的时候,八个七段码管最 多可以显示99,999,999Hz,因此在设计 时候用八个BCD码来表示。
• 该部分与清零脉冲协调工作用来控制两 个计数器的启动脉冲。
• 另外还必须有同样的八个BCD码来对输 入的频率进行计数,在闸门下降沿的时 候,将后者的值锁存到寄存器中。
等精度数字频率计设计
等精度测频实现框图
• 计数器1和计数器2分别用来给频标和被测 数字脉冲计数,设在同步门控制结束时 计数器1计数N1,计数器2计数N2,若频 标频率为F1,被测频率为Fx,则有公式: Fx/N2=F1/N1;…………………(1)
数字频率计设计
• 直接测频法的测量准确度与被测信号的 频率有关。
• 直接测频法只适合测量频率较高的信号, 不能满足在整个测量频段内的测量精度 保持不变的要求。 • 等精度测频是指频率计在所测量的整个 频段内部,均可实现相同精度的测量, 即测量精度与频率无关。
直接测频法的实现
采用直接测频法进行频率测量,具体要求: • 闸门时间固定为1s(分频得到),闸门 信号是一个0.5Hz的方波; • 在闸门有效(高电平)期间,对输入的 脉冲进行计数; • 在闸门信号的下降沿时刻,锁存前的 计数值,并且将所有的频率计数器清零。 • 显示的频率是2s更新一次,且显示的内 容是闸门下降沿时锁存的值。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
前置信信整整 被是信 求号读
波整整整整整
读读、周周是测整整 脉清信求 处处整整 占占占 是测整整 预置预预信求 预控信占读 处处整整
脉清脉脉 是测整整
显显整整
读读读读信求标标整整 稳稳整电
图13.1 频率计组成模块框图
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
1.频率测量模块 (1) 直接测频法:把被测频率信号经脉冲整形电路处 理后加到闸门的一个输入端,只有在闸门开通时间T(以秒 计)内,被计数的脉冲送到十进制计数器进行计数。 (2) 组合测频法:是指在高频时采用直接测频法,低 频时采用直接测量周期法测信号的周期,然后换算成频率。
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
13.1 系统设计要求
基于传统测频原理的频率计的测量精度将随被测 信号频率的下降而降低,在实用中有较大的局限性, 而等精度频率计不但具有较高的测量精度,而且在整 个测频区域内保持恒定的测试精度。本系统设计的基 本指标如下:
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
(1) 对于频率测试功能,测频范围为0.1 Hz~70 MHz; 对于测频精度,测频全域相对误差恒为百万分之一。 (2) 对于周期测试功能,信号测试范围与精度要求 与测频功能相同。 (3) 对于脉宽测试功能,测试范围为0.1 µs~1 s,测 试精度为0.01 µs。 (4) 对于占空比测试功能,测试精度为1%~99%。
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
(3) 倍频法:是指把频率测量范围分成多个频段, 使用倍频技术,根据频段设置倍频系数,将经整形的 低频信号进行倍频后再进行测量,对高频段则直接进 行测量。倍频法较难实现。 (4) 等精度测频法:其实现方式可用图13.2来说明。
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
2.控制部件设计 如图13.5所示,当D触发器的输入端START为高电 平时,若FIN端来一个上升沿,则Q端变为高电平,导 通FIN→CLK1和FSD→CLK2,同时EEND被置为高电 平作为标志;当D触发器的输入端START为低电平时, 若 FIN 端 输 入 一 个 脉 冲 上 沿 , 则 FIN→CLK1 与 FSD→CLK2的信号通道被切断。
1.测频/测周期的实现 (1) 令TF=0,选择等精度测频,然后在CONTRL的 CLR端加一正脉冲信号以完成测试电路状态的初始化。 (2) 由预置门控信号将CONTRL的START端置高电 平,预置门开始定时,此时由被测信号的上沿打开计 数器CNT1进行计数,同时使标准频率信号进入计数器 CNT2。
Nx Twx= fs
(13.12)
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
4.占空比测量模块 测一次脉冲信号的脉宽,记录其值为Twx1,然后将 信号反相,再测一次脉宽并记录其值为Twx2,通过下式 计算占空比:
Twx1 占空比= 100% Twx1 + Twx 2
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
13.2.3 CPLD/FPGA测频专用模块的VHDL程序设计 利用VHDL设计的测频模块逻辑结构如图13.4所示, 其中有关的接口信号规定如下: (1) TF(P2.7):TF=0时等精度测频;TF=1时测脉宽。 (2) CLR/TRIG(P2.6):当TF=0时系统全清零功能; 当TF=1时CLRTRIG的上跳沿将启动CNT2,进行脉宽 测试计数。 (3) ENDD(P2.4) : 脉 宽 计 数 结 束 状 态 信 号 ,
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
占字显显LED×8
等是信求 显显显显74LS164×8 8 8 TCLK CPLD/FPGA 50 MHz BCLK 晶晶 信求信信信 整整整整
P0 P3.0~P3.1 P2 AT89C51 单单单 RET P1.0~P1.3
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
第13章 等精度数字频率计的设计与分析 章
13.1 系统设计要求 13.2 系统设计方案 13.3 主要VHDL和单片机源程序 和单片机源程序 主要 13.4 系统仿真 硬件验证 系统仿真/硬件验证 13.5 设计技巧分析 13.6 系统扩展思路
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
3.脉宽测量模块 在进行脉冲宽度测量时,首先经信号处理电路进 行处理,限制只有信号的50%幅度及其以上部分才能 输入数字测量部分。脉冲边沿被处理得非常陡峭,然 后送入测量计数器进行测量。 测量电路在检测到脉冲信号的上升沿时打开计数 器,在下降沿时关闭计数器,设脉冲宽度为Twx,计算 公式为
经误差分析,可得结论:用该测量法测量时,被测 信号的频率越高,测量误差越大。
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
(2) 等精度周期测量法:该方法在测量电路和测量 精度上与等精度频率测量完全相同,只是在进行计算 时公式不同,用周期1/T代换频率f即可,其计算公式为 Ts × N s Tx= (13.11) Nx
fs fx = N x Ns
(13.3)
f xe fs = N x N s + ∆et
(13.4)
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
可分别推得
fs × N x fx = Ns
f xe fs × N x = N s + ∆ et
(13.5)
(13.6)
根据相对误差的公式有
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
(3) 预置门定时结束信号把CONTRL的START端置 为低电平(由单片机来完成),在被测信号的下一个脉冲 的上沿到来时,CNT1停止计数,同时关断CNT2对fs的 计数。 (4) 计数结束后,CONTRL的EEND端将输出低电 平来指示测量计数结束,单片机得到此信号后,即可 利 用 ADRC(P2.2) 、 ADRB(P2.1) 、 ADRA(P2.0) 分 别 读 回CNT1和CNT2的计数值,并根据等精度测量公式进 行运算,计算出被测信号的频率或周期值。
GATE CLK2 FSD CLKOUT CONTRL2 FIN CLR
24
CNT2 Q[31..0] CLK CLR
8
OUTPUT 42
OO[7..0]
CNL PUL
6
PUL
ENDD START
OUTPUT 43
ENDD
图13.4 测频模块逻辑图
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
∆f xe f xe − f x = f xe f xe
(13.7)
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
经整理可得到
∆f xe ∆et = f xe Ns
因∆et≤1,故∆et/Ns≤1/Ns,即
(13.8)
∆f xe 1 ≤ f xe Ns
Ns=Tprfs (13.9)
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
13.2 系统设计方案
13.2.1 系统设计方案选择 根据频率计的设计要求,我们可将整个电路系统 划分为几个基本模块,如图13.1所示。各模块的实现均 有几种不同的设计方案。
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
ENDD=1计数结束。
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
(4) CHOICE(P3.2):自校/测频选择,CHOICE=1 测频;CHOICE=0自校。 (5) START(P2.5):当TF=0时,作为预置门闸,门 宽可通过键盘由单片机控制,START=1时预置门开; 当TF=1时,START有第二功能,此时,当START=0时 测负脉宽,当START=1时测正脉宽。利用此功能可分 别获得脉宽和占空比数据。 (6) EEND(P2.3):等精度测频计数结束状态信号, EEND=0时计数结束。 (7) SEL[2..0](P2.2,P2.1,P2.0):计数值读出选通 控制。
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
fs fx = N x Ns
由此可推得
(13.1)
fs × N x fx = Ns
(13.2)
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
预置预预信求
D
Q
CLKEN CLK OUT1 CNT1 CLR
FIN CHKF FIN CHOIS FOUT
CONTRL FIN CLK1 STARTEEND CLR FSD
2
CNT1 CLK CLR
7
Q[31..0]
OUTPUT 41
EEND
CLK2 CLRC
18 16 17 19 20
DSEL Q1[31..0] Q2[31..0] SEL[2..0]
9
OO[7..0]
5.标准频率发生电路 本模块采用高频率稳定度和高精度的晶振作为标 准频率发生器。
第13章 等精度数字频率计的设计与分析 13章 等精度数字频率计的设计与分析
13.2.2 系统总体设计方案 等精度数字频率计涉及到的计算包括加、减、乘、 除,耗用的资源比较大,用一般中小规模CPLD/FPGA 芯片难以实现。因此,我们选择单片机和CPLD/FPGA 的结合来实现。电路系统原理框图如图13.3所示,其中 单片机完成整个测量电路的测试控制、数据处理和显 示输出;CPLD/FPGA完成各种测试功能;键盘信号由 AT89C51单片机进行处理,它从CPLD/FPGA读回计数 数据并进行运算,向显示电路输出测量结果;显示器 电路采用七段LED动态显示,由8个芯片74LS164分别 驱动数码管。