等精度频率计的实验报告

合集下载

专用集成实验报告

专用集成实验报告

等精度频率计

一、实验原理

基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,即测量精度随被测信号的频率的变化而变化,在实用中有较大的局限性,而等精度频率计不但具有较高的测量精度,且在整个频率区域能保持恒定的测试精度。设计项目可达到的指标如下:

1、频率测试功能:测频范围0.1Hz~100MHz。测频精度:测频全域相对误差恒为百分之一。

2、脉宽测试功能:测试范围0.1us~1s,测试精度:0.01us。

3、占空比测试功能:测试(显示)精度1%~99%。

4、相位测试功能:测试范围0~360,测试精度0.2。

二、实验程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity etester is

port(bclk:in std_logic;

tclk:in std_logic;

clr:in std_logic;

cl:in std_logic;

spul:in std_logic;

start:out std_logic;

eend:out std_logic;

sel:in std_logic_vector(2 downto 0);

data:out std_logic_vector(7 downto 0);

bzq:out std_logic_vector(31 downto 0);

tsq:out std_logic_vector(31 downto 0));

end etester;

architecture behav of etester is

电子系统设计实验报告

电子系统设计实验报告

基于单片机和FPGA的等精度频率计

一、设计任务

工作频率通信系统极为重要的参数,频率测量是通信系统基本的参数测试之一。本设计的主要任务是使用单片机与 EDA 技术设计制作一个简易的等精度频率测试仪,可对输入周期信号的频率进行测量、显示。被测信号的频率范围和测试的精度要求见相应的设计任务书。

二、设计框图

图 1 硬件系统原理框图

等精度频率计的主系统硬件框图如图1 示,主要由以下几部分组成:

(1)信号整形电路:用于对于放大信号进行放大和整流,以作为PLD 器件的输入信号

(2)测频电路:是测频的核心电路模块,由FPGA 或CPLD 器件组成。

(3)单片机电路模块:用于控制FPGA 的测频操作和读取测频数据,并做出相应处理。

(4)数码显示模块:用8 个数码管显示测试结果,考虑到提高单片机I/O 口的利用率,降低编程复杂性,提高单片机的计算速度以及降低数码显示器对主系统的干扰,可以采用串行静态显示方式。

三、测频原理分析

3.1 等精度频率测试的原理

频率是一个基本的物理量,其它的物理量可以转换为频率进行测量。测试频率的基本方法包括直接测频和测周法。其中直接测频法是产生一个标准宽度(例如1s)的时基信号,然后在这个信号时间范围内打开闸门对被测频率信号进行计数。此方法的弱点之一是高精度的标准时基信号不容易获得;其二,这种方法对于高频信号的测量精度比较有保证,但是对于低频信号由于计数周期有限测试精度较低。测周法是用被测信号作为闸门信号、对标准脉冲信号进行计数,显

然这种方法适合测量低频信号的频率。

等精度测频法的核心思想是用两个计数器分别对标准和被测脉冲进行计数,计数的时间严格同步于被测脉冲。这种方法的最大优点是测试的精度和被测信号的频率无关,因而可以做到等精度测量。其测试原理如图2 示。预置闸门信号是测试命令,即测频的使能信号,该信号为高电平的期间进行测频。但是当预置闸门信号为高电平时,测频并不是立即开始,而是要等到被测信号的上升沿到来以后,实际闸门信号跳为高电平,测频才真正开始。同理,当预置闸门信号跳低以后,测频并没有马上结束,而是要等到被测信号的上升沿以后,实际闸门信号才跳低,测频结束。由此可见,实际闸门信号完全同步于被测信号,其脉冲宽度必然是被测信号周期的整数倍,期间对被测信号和标准脉冲进行计数,再通过简单的计算就可以求得被测信号的频率。

频率计实验报告

频率计实验报告

一.设计方案

1. 整体思路:求待测信号的频率,实际上是在1s内对脉冲进行计数。故先对1MHz分频产生1s的闸门信号,由此产生计数使能信号,计数清零信号(有锁存要求的也要产生锁存信号要求);六位十进制计数器在计数使能和计数清零信号的控制下计数。若有显示频率值固定的要求,则由锁存信号控制寄存器锁存稳定的频率值。

2. 模块划分:采用“自顶向下,逐步细化”的设计思想,顶层文件定义总输入输出端口及各模块的输入输出端口,并进行端口匹配。底层分为三个模块:分频模块,计数模块和锁存模块,分别完成各自功能。

(1)分频模块:输入为1MHz基准时钟信号,输出为计数使能信号,计数清零信号(和锁存信号)

共有四个线程,

p1: process (clk01) 用于将1MHz时钟基准信号500000分频产生2Hz时钟信号(具体实现方式是设置计数变量,每计到49999时2Hz时钟信号clk2产生一个脉冲);

p2: process ( clk2 ) 用于将2Hz时钟信号clk2 2分频,产生1s的闸门信号(实现方法是clk2每出现一个脉冲,clk1的值反转一次。这样两次分频产生的闸门信号是方波,而将1MHz 直接500000分频得到的输出信号占空比不是50%);

p3: process ( clk1 ) 用于将闸门信号clk1再次2分频从而产生计数使能信号en(和锁存信号lock);

p4: process ( clk1,clk0_5 ) 用于产生计数清零信号clr(计数使能信号无效的后0.5s计数器清零)。

(2)计数模块:输入为待测脉冲频率,计数使能和计数清零信号,输出为27位(前7位对应十万位7段译码管g~a,后20位对应万位~各位的8421码输出)。采用异步清零。

等精度频率计的研究与设计

等精度频率计的研究与设计

中图分 类号 : 4 23 2 U 9 .+ 3
文 献标识 码 : A
1引言 。频 率 检测 是 电子测 量 领域 的最 会 由于 周期 性 的清 零信 号而 不 断 闪烁 。在每 基 本也 是最 重要 的测量 之一 。频 率 信号 抗 干 次测量开始时, 都必须重新对计数器清零。 扰能力强、 易于传输 , 可以获得较高的测量精 3 . 4锁存器模块。锁存器模块也是必不 度 , 以测频 方 法的研 究越 来越 受 到重 视 。 所 等 可 少 的 , 模块 测量 完成 后 , l d 号 的 测量 在 o 信 a 精度测量消除了对被测信号计数所产生的正 上升沿时刻将测量值锁存到寄存器中,然后 负1 误差 ,并 且 达 到 了在整 个测 试 频段 的等 输 出到 显示 模块 。 精度测量,测量信号的精度不随所测信号频 3 十进 制 计数 器 模 块 。计 数 器模 块 是 . 5 率 的变化 而 变化 。 由8 个带 有 异步 清 零端 ,进 位信 号输 出 的模 2等精度频率测量算法 。精度测频方法 为 l 的计 数模 块级 连 而成 。 十进 制计 数器 O 此 的 闸门 时间不 是 固定 的 值 ,而是 被测 信 号周 的 特殊之 处是 , 一时 钟使 能输入 端 E A, 有 N 用 期 的整 数 倍 , 即与 被 测 信 号 同步 , 因此 , 除 于锁 定计 数器 。 避 当高 电平计 数允 许 , 电平 时 低 了对被测信号计数所产生± 个字误差 , 1 并且 计数 禁止 。计 数器 模 块用 于对 输 入信 号 的脉 达到 了在 整个 测 试频 段 的等 精度 测 量 。在测 冲进 行计 数 , 模 块必 须 有计数 允 许 、 清 该 异步 量 过程 中 ,有 两个 计数 器 分别 对标 准 信号 和 零 等端 口, 于控 制模 块对 其进 行控 制 。 以便 被测信号同时计数 。首先给出闸门开启信号 3 . 示模 块 wk.baidu.com 本设 计 中设 计 了一个 3 6显 在 ( 置 闸 门上 升 沿 ) 时计 数 器 并 不 开始 计 位 的循环 计数 器 , 数结 果 输入 到译 码 器 , 预 ,此 将计 数, 而是等到被测信号的上升沿到来时, 计数 译码结果输出即可依次使能每个 L D。 E 4 顶层 模 块设计 器才真正开始计数 。然后预置闸门关闭信号 ( 降 沿) 时 , 数器 并 不 立 即停 止 计 数 , 下 到 计 而 数字 频率 计 的顶层 文件 如 图 1 : 是等到被测 信号的上升沿到来 时才结 束计 在硬件电路上,用 F G P A取代传统的集 数 , 成一 次测 量过 程 。 完 成 电路 和单 片 机作 为 主要 载体 ,除 了输 入 和 3 设计 仿 真 与实 现 。本 设 计 实现 信号 源 输 出显 示 等少 数 电路外 , 它 大部 分 电路都 其 模 块 、 制 模 块 、 数 模块 、 控 计 锁存 器 模 块 和 显 可 以集 成 在一 片 F G P A芯片 中 ,大 大降 低 了 示 器模 块 5大模 块 , 面 分别 介 绍 三个 模块 电路 的复杂 程 度 、 小 了体 积 、 下 减 电路 工作 也更 的结构 和实 现 方法 。 加 可靠 和稳 定 , 也大 为提 高 。 速度 在开 发方式 31信号 源模块 。信号 源是 为 了产 生 上 ,用 自顶向下的的系统开发方法取代 了传 . 1 z的门控信号和待测的定频信号 ,而对 统 的 自下而 上 的硬 件堆 砌式 开 发模 式 。借助 MH 输 入 系统 时 钟 c (0 H ) 分 频 的模 块 , l 5 M z进行 k 设计源代码 PN1 ZV D 对输入系统时钟 I MH .H c (0 H ) 分 频产 生 1 z信 号 。 l 5 M z进行 k MH 3 分 频 器 模 块 。 此 程 序要 求将 1 H . 2 M Z 的 输入 频率 分 别进 行 2 分频 f 生 50 H 1 产 0K Z 的 输 出 频 f q 0k、3分 频 ( 生 15 K Z e5012 产 2 H 的 输 出 频 率 f q2 k、5 分 频 ( 生 r 15) e 2 产 320 Z的输 出频 f q 15)2 15 H r 320、7分频 ( e 产生 7 1H 82 Z的输 出频 率 fq 82、9分频 f r 7 1)2 e 产生 15H 93 Z的输 出频 率 fq 93、1 分频 ( 生 r 15)2 1 e 产 4 8 Z的输 出 频 率 f q8)23分 频 f 生 8H r 48、1 e 产

等精度测量与不等精度测量实验报告

等精度测量与不等精度测量实验报告

等精度测量与不等精度测量实验报告

一、实验目的 练习使用数据处理方法 二、实验原理 1、应变式力传感器

(1)单臂电桥 R

R R E U +∆=

2140 (2)半桥 R R

E U ∆=

20 (3)全桥 R

R

E U ∆=0

三、实验装置

四、实验步骤

1、按照示意图连接电桥电路

2、检查接线无误后,合上电源开关。按步骤调零电路。

3、依次缓慢添加砝码(20g)至托盘中心位置,示数稳定后,读数,记录数据。添加至7个后,结束测量。

4、随机放至2~3个砝码至托盘中心位置,读数。用相同的砝码,反复测量10次,记录数据,结束测量。

5、反复上述步骤,进行半桥、全桥电路测量,记录数据。

五、实验数据

1、单臂电桥

2、半桥

3、全桥

六、数据处理

1、依最小二乘法拟合电压—重量曲线,对电桥电压—重量关系进行标定。依最小二乘理论,自变量应为准确值,函数为相互独立服从正态分布的随机变量(此为一元线性回归的模型),而标定直线重量相对于电压显然为更准确的,所以即使最后使用的为重量—电压曲线,使用电压—重量曲线也是更加正确的。(这里面原理的正误在后面有讨论。)

1.254x 0.05y +=

2.4

05x 0.750y +-= 4.9080.667y +

= 2、对相应电压值作为数据处理,由于“认为”最小二乘法标定直线为正确的,电压—重量关系对于每个数据都相同,则最后可通过函数的平均值,标准差传递

公式得出正确结果。x k y = x y k σσ= 得出相应结果y y σ±:0.4363.13±

0.1060.35± 0.0659.99±

3、对于粗大误差,标定曲线通过散点图发现,不存在粗大误差。(这里不进行方差分析与显著性检验,因为通过散点图就可得图线拟合地很好,另外即使得出结果,对于“认为”标定直线为正确的实验方法,没有任何对之后数据处理的作用,只能将“拟合直线正确”作为原理误差)

等精度频率计

等精度频率计

2013-2014学年第2学期

数字系统设计实践

(课号:103D47A)

实验报告

实验名称:等精度频率计

学院信息科学与工程学院

班级电气自动化2班

组别A20

成员罗静娜、陈壮豪

姓名陈壮豪

学号136450031

指导教师李宏

完成时间2015年6月8日星期四

目录

一、实验任务与要求 (3)

1.1 测量信号:方波; (3)

1.2 幅值:TTL电平; (3)

1.3 频率:100HZ~10MHZ; (3)

1.4 测量误差小于0.1%; (3)

1.5 闸门信号:~0.1s;响应时间:~1s (3)

二、实验设计 (3)

2.1 电路模型: (3)

2.2 等精度频率计设计原理 (4)

2.2 等精度频率计设计思路及其参数选择: (5)

3.1 闸门信号产生模块: (6)

3.2 寄存器模块: (7)

3.3 频率显示切换模块: (8)

3.4 频率的计算: (9)

3.5频率显示前的数据处理模块: (10)

3.6顶层电路图: (11)

3.7 管脚分配图: (12)

四、实验结果 (13)

4.1 数据记录: (13)

4.2 实验结果分析: (13)

一、实验任务与要求

1.1 测量信号:方波;

1.2 幅值:TTL电平;

1.3 频率:100HZ~10MHZ;

1.4 测量误差小于0.1%;

1.5 闸门信号:~0.1s;响应时间:~1s

二、实验设计

2.1 电路模型:

2.2 等精度频率计设计原理

1.频率计算:

2.测量误差计算:

考虑No最大误差为:1,则

与被测频率无关,故也称:等精度频率测量方法

2.2 等精度频率计设计思路及其参数选择:

系统时钟频率选择50khz;测量频率范围为100HZ至10MHZ;闸门信号约为0.1s,最长响应时间约为1s,测量误差为1/(500000)<0.1%。满足设计要求。

等精度频率测量技术

等精度频率测量技术

数字系统设计实践

设计报告

实验名称等精度频率测量技术

班级通信112 学生姓名周焕强

学号 116040268 指导教师应祥岳

完成日期 2013-05-08

摘要

频率计的主要功能是准确测量出待测频率的频率、周期、脉宽及占空比。在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。频率测量一般有三种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数,该方案将随被测信号频率的下降而下降;二是周期测频法,即是通过测量被测信号一个周期时间计时信号的脉冲个数,然后换算得出被测信号的频率,但该方法在被测信号的周期较短时,其精度大大下降;方法三是等精度测频,可以将误差降到很低。本实验将应用等精度测频技术,利用FPGA技术设计一个测频计,将测得频率用十进制显示在数码管上。

关键词:频率、周期、十进制显示、等精度

目录

一、设计任务 (1)

二、设计要求 (1)

三、系统方案 (1)

3.1 分频器模块 (1)

3.2 同步电路模块 (1)

3.3 门控闸门计数模块 (1)

3.4 运算模块 (1)

3.5 进制转化模块 (1)

3.6 输出控制模块 (2)

四、系统理论分析与计算 (2)

4.1理论分析 (2)

4.2理论计算 (3)

五、电路与程序设计 (3)

5.1电路的设计 (3)

5.1.1频率计顶层图形设计 (3)

5.1.2系统电路原理图 (4)

5.2程序的设计 (5)

5.2.1分频器模块的vhdl设计 (6)

5.2.2同步电路模块的vhdl设计 (7)

100MHz等精度频率计设计(基于某VerilogHDL)

100MHz等精度频率计设计(基于某VerilogHDL)

某某:X中权

学号:152210303127

班级:电子1班

数电课设报告

100MHz等精度频率计设计〔基于Verilog HDL〕一、设计要求:

提供一个幅值为10mV ~ 1 V,频率为 1 ~ 100MHz的正弦信号,需测试以下指标:

1.频率:测频X围 1Hz ~ 100MHz,测频精度为测频全域内相对误差恒为百万分之一。

2.占空比:测试精度 1% ~ 99%

3.相位差:测试两个同频率的信号之间的相位差,测试X围 0 ~ 360 某某科技大学2017/10/12

二、设计分析

使用FPGA数字信号处理方法,首先需要将正弦信号转换成可读取的数字方波信号,再经过FPGA 设计计算得出所需测量值。

三、模电局部

首先选择比拟器,对于 100 MHz 信号,比拟器灵敏度需要达到5ns内,TI公司的LTV3501灵敏度为4.5ns,符合要求

由TLV3501数据手册得知:当频率低于 50MHz 的时候,正弦波的峰峰值需大于 20mV,频率高于

50MHz时,峰峰值需大于 1V。

然后需要选择放大器,当正弦波幅值为 10mV时,放大倍数需大于35。方法通过二级放大,一级用OPA847放大20倍,二级用OPA675放大8倍,得到总放大倍数160的正弦波。

经转换后的输出电压符合TTL电平要求,可以被识别出0和1。

四、数电局部

开发板:Cyclone IV E: EP4CE6E22C8

板载时钟为 50MHz,带4个按键和一个复位键〔按键按下为0,抬起为1〕,四个七段数码管〔共阳〕,FPGA的引脚可由杜邦线引出。

设计思路:

等精度数字频率计

等精度数字频率计

等精度数字频率计测量方式:

一、测频原理

所谓“频率”,确实是周期性信号在单位时刻转变的次数。电子计数器是严格依照f =N/T的概念进行测频,其对应的测频原理方框图和工作时刻波形如图1 所示。从图中能够看出测量进程:输入待测信号通过脉冲形成电路形成计数的窄脉冲,时基信号发生器产生计数闸门信号,待测信号通过闸门进入计数器计数,即可取得其频率。假设闸门开启时刻为T、待测信号频率为fx,在闸门时刻T内计数器计数值为N,那么待测频率为:

fx = N/T

假设假设闸门时刻为1s,计数器的值为1000,那么待测信号频率应为1000Hz 或1.000kHz,现在,测频分辨力为1Hz。

图1 测频原理框图和时刻波形

二、方案设计

2.1整体方案设计

等频率计测频范围1Hz~100MHz,测频全域相对误差恒为百万分之一,故由此系统设计提供100MHz作为标准信号输入,被测信号从tclk端输入,由闸门操纵模块进行自动调剂测试频率的大小所需要的闸门时刻,如此能够精准的测试到被测的频率,可不能因闸门开启的时刻快慢与被测频率信号转变快慢而阻碍被测频率信号致使误差过大,被测信号输入闸门操纵模块后,在闸门操纵模块开始工作时使encnt端口输出有效电平,encnt有效电平作用下使能标准计数模块(cnt模块)和被测计数模块(cnt模块),计数模块开始计数,直到encnt 从头回到无效电平,计数模块就将所计的数据送到下一级寄放模块,在总操纵模块的作用下,将数据进行load(锁存),然后寄放器里的数据会自动将数据送到下一模块进行数据处置,最后送到数码管或液晶显示屏(1602)进行被测信号的数据显示。

等精度数字频率计几种设计方案的实验研究

等精度数字频率计几种设计方案的实验研究
林 建 英 ,高苗苗 ,牛英俊
( 大连理工大学 电子信息与电气工程 学部 ,大连 16 2 ) 0 3 1
摘要 :研 究 了采 用不同器件 、不 同设 计方法实现等精度频率计的 5种 设计方案。依 据等精 度频率测量 原理 ,分别针对 5 1单 片机 、C 0 1 片机 、F G 8 5 F单 P A与单片机 、F G P A及 S P O C几种 系统的等精度频 率计设计方法、特 点进行 了详细的分析 和 实验 教学研 究。以及在 此基础上扩展 实现周期测量 、占空比测量 、脉宽测 量功能的 方法。意在 引导 学生拓展 思路 ,使 等精度数
Ab t a t D f r n c e sh v e n ds u s d i i a e ,b t i n e e a e ie n e in oa h e ee u l rc s n f — sr c : i e e ts h me a e b e ic se n t sp p r y u i z g s v r d vc sa d d sg st c iv q a e ii r h li l p o e q e e t r Deald a ay i n r ci a a o ao y t a h n a e as e n c r e u o u ig o u n y mee . t i n lss a d p a t l lb r tr e c ig h v o b e ar d o t fc s n MCU 5 e c l i n MC C 0 1, U 8 5 1, F GA&MC n P P U a d F GA&S C rs e t e y a c r ig t r c pe f e u r cso rq e c a u e n . Ba e n te e a OP e p ci l , c od n o p n i ls o q a p e iin fe u n y me s r me t v i l s d o h s — e iv me t meh d fp r d me s r me t c ce d t a u e n , p le w d h me s r me ta x e d d t n a c h l・ he e n , to so i a u e n , y l uy me s r me t u s i t a u e n r e t n e o e h n e t e i e e o e mp me tt n o q a p e iin d tlfe u n y mee x r n , t u x r n a e c i g c n b x e t d si l t g o e n s n ai fe u r cso j 丑 r q e c tr e p i o l e me t h s e p i e me t ta hn a e e p ce tmua i , p n e s l n

频率测量实验

频率测量实验

《电子系统设计》课内实验报告

姓名:王关富班级:电子08003 学号: 0811100330 实验日期:2011/5/19

程序清单:

#include

#include

#define LINE1 0

#define LINE2 1

#define LINE1_HEAD 0x80

#define LINE2_HEAD 0xC0

#define DATA_MODE 0x38

#define OPEN_SCREEN 0x0C

#define DISPLAY_ADDRESS 0x80

#define CLR 0x01

sbit LCD1602_RS=P3^5; /* Data Command Pin 1 data 0 command pin 4 */ sbit LCD1602_RW=P3^6; /* Read Write Pin 1 read 0 write pin 5 */ sbit LCD1602_EN=P3^7; /* LCD Enable Signal pin 6 */

sbit LCD1602_BUSY_status = P0^7;

sbit Tg=P1^1;

sbit Ts=P3^3;

sbit fx=P3^4;

unsigned long T0_COUNT=0,T1_COUNT=0;

void f_to_a(float,unsigned char *);

void LCD_command(unsigned char command,unsigned char BusyC);

void LCD_data(unsigned char command,unsigned char BusyC);

等精度法测频率计的原理

等精度法测频率计的原理

等精度法测频率计的原理

引言:

等精度法测频率计是一种常用的频率测量方法,它利用稳定的参考信号与待测信号进行比较,从而精确地测量待测信号的频率。本文将介绍等精度法测频率计的原理及其工作流程。

一、原理概述:

等精度法测频率计的原理基于频率比较技术,通过将待测信号与参考信号进行相位比较,从而得到待测信号的频率信息。其核心思想是将待测信号与参考信号进行周期性的比较,通过计算比较结果的平均值来消除测量误差,从而提高测量精度。

二、工作流程:

等精度法测频率计的工作流程主要包括以下几个步骤:

1. 产生参考信号:首先需要产生一个稳定的参考信号,通常使用高稳定度的晶振或标准频率源作为参考信号源。

2. 将待测信号与参考信号进行比较:待测信号与参考信号经过相位比较,得到相位差信息。

3. 相位差计算:通过测量待测信号与参考信号的相位差,可以计算出待测信号的周期。

4. 周期计算:根据相位差计算出的周期信息,可以得到待测信号的

频率。

5. 精度提高:为了提高测量精度,需要进行多次测量并取平均值,以消除测量误差。

三、关键技术说明:

等精度法测频率计的实现需要借助一些关键技术,包括:

1. 相位锁定技术:通过将待测信号与参考信号进行相位锁定,可以确保两者相位一致,从而实现准确的相位比较。

2. 周期计数器:周期计数器用于测量待测信号与参考信号的相位差,通过计数器的计数结果可以得到待测信号的周期信息。

3. 数字信号处理:为了提高测量精度,可以利用数字信号处理技术对测量结果进行滤波、平均等处理,以消除噪声和提高信号质量。四、优缺点分析:

频率计实验报告

频率计实验报告

频次计真验报告之阳早格格创做

一,真验脚段

1. 应用AT89S52单片机、单片机的I/O端心中扩启动器74HC573战74HC138、LED数码管动向隐现等真止对付中部旗号频次举止准确计数的安排.

二,真验央供

A.基础央供:

使用单片机的定时器/计数器功能,安排频次丈量拆置.(1)当被测频次fx<100Hz时,采与测周法,隐现频次XXX.XXX;当被测频次fx>100Hz时,采与测频法,隐现频次XXXXXX.

(2)利用键盘分段丈量战自动分段丈量.

(3)完毕单脉冲丈量,输进脉冲宽度范畴是100µs-0.1s. B.扩展部分:

三,真验基根源基本理

以单片机AT89S52为核心,利用单片机AT89S52的计数/定时器(T1战T0)的功能去真止频次的计数,而且利用单片机的动向扫描把测出的数据支到数字隐现电路隐现.利用7SEG-MPX8-CC-BLUE共阳极数码管,隐现电路共由六位共阳极数码管组成,总体本理框图如图1.1所示.

图1.1 总体安排框图

测频本理

丈量频次有测周法战测频法二种.如图2.2战图2.3所示

图1.2测周法 图1.3测频法

(1)测频法(T 法):通过丈量脉冲宽度去决定频次,适用于下频.

(2)测周法(M 法):是计数器正在一定时间内对付速度的脉冲数,决定频次,适用于矮频.

四,真验安排分解

针对付要真止的功能,采与AT89S52单片机举止安排,AT89S52单片机是一款矮功耗,下本能CMOS8位单片机,

片内含8KB正在线可编程(ISP)的可反复揩写1000次的Flash只读步调保存器,器件采与下稀度、非易得性保存技能制制,兼容尺度MCS- 52指令系统及80C52引足结构.那样,既能搞到经济合理又能真止预期的功能.

等精度测频

等精度测频

4 等精度测频存在的不足及改进方法
不足:等精度测频方法除了需要两个计数器分别对被测信号 和基准时钟进行计数外,还需要附加一个额外的计数器来产 生预置闸门控制信号,而且由于预置闸门控制信号的引入, 增加了同步电路的复杂度。当被测信号频率较高时,被测信 号的上升沿和预置闸门信号的下降沿可能会出现竞争冒险的 问题,从而造成误触发,影响了测量精度,降低了系统的可 靠性。 改进方法:其工作过程分为粗测和精测两步。 粗测时,将被测信号的预分频数设置为2,对其进行分 频,分频后信号的上升沿启动计数器1对基准频率进行计数, 其后紧接着的下降沿启动计数器1对基准频率进行计数,其 后紧接着的下降沿使计数停止,根据计数值的大小估算出
被测信号的频率。 精测时,根据此前估算的频率和预选设定的测量时间, 调整被测信号的预分频数(譬如预先设定的测量时间为1s, 估计的被测信号频率为6000Hz,那么调整后预分频数为1/ (1/6000)=6000),再重复对基准频率的计数过程,完成 频率测量。 这种改进既实现了等精度测量的基本思路——被测信号 的测量时间为整数个周期,又可根据被测信号频率的不同, 自动调整被信号的预分频数,直接利用分频后的信号作为控 制信号。这样就将会对被测信号的计数和分频合二为一,从 而简化了电路结构,减少了硬件开销,避免了误触发,提高 了测量系统的可靠性,达到了宽范围、等精度测量的要求。
等精度测频
60100226 徐凤贺

基于FPGA的等精度频率计的设计

基于FPGA的等精度频率计的设计

基于FPGA的等精度频率计的设计

一、引言

频率计是一种广泛应用于电子领域的仪器设备,用于测量信号的频率。常见的频率计有软件频率计和硬件频率计两种。软件频率计主要基于计算

机软件,通过采集到的信号数据来计算频率。硬件频率计则是基于专用的

硬件电路,直接对信号进行采样和处理,具有实时性强、准确度高的优点。本文将基于FPGA设计一种等精度频率计,旨在实现高精度、高稳定性的

频率测量。

二、设计原理

本设计采用基于FPGA的硬件频率计方案,其主要原理是通过对输入

信号的时间计数,并结合固定参考值,计算出信号的频率。具体流程如下:

1.信号输入:将待测量的信号输入至FPGA芯片,输入信号的幅度应

符合输入电平范围。

2.信号计数:利用FPGA芯片内部的计数器,对输入信号进行计数,

并记录计数器的数值。计数器的值与输入信号的频率成反比,即计数器值

越大,信号频率越低。

3.定时器触发:通过定时器产生一个固定的参考信号,用于触发计数

器的复位操作。定时器的频率应足够高,以保证计数器能够实时精确计数。

4.数据处理:计数器值与定时器触发的时间周期共同决定了输入信号

的频率。通过计算参考值与计数器值的比例,可以得到准确的频率值。

5.结果输出:将计算得到的频率值输出至显示屏或其他外部设备,以

便用户进行查看。

三、设计方案

1.FPGA选型:选择一款适合频率计设计的FPGA芯片,要求其具有较高的计数能力、较大的存储空间和丰富的外设接口。

2.输入电路设计:设计一个合适的输入电路,将待测信号进行电平调整和滤波处理,以确保输入信号的稳定性和合适的幅度范围。

频率计实验报告

频率计实验报告

频率计实验报告

频率计实验报告

引言:

频率计是一种用于测量信号频率的仪器。在电子工程、通信和物理等领域中,频率计被广泛应用于测量和分析各种信号的频率特性。本实验旨在通过使用频率计来测量不同信号源的频率,并探究其测量精度和适用范围。

实验过程:

实验中,我们使用了一台精密频率计和几个不同的信号源。首先,我们将频率计连接到信号源,并调整频率计的设置以适应不同的信号频率范围。然后,我们逐个测量每个信号源的频率,并记录下测量结果。在测量过程中,我们还注意到信号源的幅度对频率计的测量结果是否有影响。

实验结果:

通过实验,我们得到了一系列信号源的频率测量结果。我们发现,在低频范围内,频率计的测量精度较高,能够准确测量信号源的频率。然而,当信号频率超过一定范围后,频率计的测量精度开始下降,甚至无法准确测量。这是因为频率计的设计和工作原理决定了其适用范围有限。

进一步分析:

在实验中,我们还发现信号源的幅度对频率计的测量结果有一定影响。当信号幅度较小时,频率计可能无法稳定地测量信号的频率。这是因为频率计需要足够的信号能量来进行稳定的测量。因此,在使用频率计进行测量时,我们需要注意信号源的幅度是否满足要求。

实验误差:

在实验中,我们还存在一定的测量误差。这些误差可能来自于频率计本身的精

度限制,也可能来自于信号源的不稳定性或其他外界干扰因素。为了减小误差,我们可以采取一些措施,例如增加测量次数并取平均值,或使用更高精度的频

率计。

应用与展望:

频率计在现代科学和工程中具有广泛的应用前景。它可以用于测量和分析各种

信号的频率特性,从而帮助我们更好地理解和掌握信号的行为规律。未来,随

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字频率计

摘要

以FPGA(EP2C8Q208C8N)为控制核心设计数字频率计,设计采用硬件描述语言Verilog 该作品主要包括FPGA控制、数码管模块、信号发生器、直流电源模块、独立按键、指示灯模块。主要由直流电源供电、数字信号发生器输出信号,FPGA 控制信号的采集、处理、输出,数码管显示数据,按键切换档位,指示灯显示档位。作品实现了测频、测周、测占空比,能准确的测量频率在10Hz 到100kHz之间的信号。

关键字: 频率计等精度 FPGA (EP2C8Q208C8N)信号发生器Verilog语言

一、系统方案论证与比较

根据题目要求,系统分为以下几个模块,各模块的实现方案比较选择与确定如下:

1.主控器件比较与选择

方案一:采用FPGA(EP2C8Q208C8N)作为核心控制,FPGA具有丰富的I/O 口、内部逻辑和连线资源,采集信号速度快,运行速度快,能够显示大量的信息,分频方便。

方案二:采用SST89C51作为主控器件,虽然该款单片机较便宜,但运行速度较慢,不适合对速度有太大要求的场合,并且不带AD,增加了外围电路。

综上所述,主控器件我选择方案一。

2.测量方法的比较与选择

方案一:采用测频法测量。在闸门时间内对时钟信号和被测信号同时计数,由于在闸门闭合的时候闸门时间不能是被测信号的整数倍,导致计数相差为一个被测信号时间,所以测频法只适合频率较高的测量。

方案二:采用测周法测量。用被测信号做闸门,在闸门信号内对时钟信号计数,由于在闸门闭合的时候闸门时间不能是时钟信号的整数倍,导致计数相差为一个时钟信号时间,所以测周法只适合较低频率的测量。

方案三:采用等精度法和测周法结合的方法。用等精度发测量1KHZ以上的频率,测周法测量1KHZ一下的频率。这种方法取长补短,既能准确的测高频又能测低频。

综上所述,测量方法我选用方案三。

3. 界面显示方案的选择

方案一:采用数码管显示,控制程序简单,价格便宜,显示直观。

方案二:液晶5110,虽然体积小,可以显示各种文字,字符和图案。

考虑到数码管完全可以满足数据显示要求,所以显示部分我选用方案一。

二、理论分析与计算

1、键盘设计

系统中我们采用独立键盘,用2个I/O控制2个键。原理是将2个I/O口直接接键盘的2个引脚,低电平有效,这种键盘的优点反应的速率快。

2、计算公式

(1)测频: 1khz以上:被测频率=时钟频率*(被测频率计数/时钟频率计数) 1khz以下:被测频率=时钟频率/(时钟频率在被测信号高电平计数+时钟频率在被测信号低电平计数)

(2)测周: 被测周期=(时钟频率计数/被测频率计数)*时钟周期 (3)测占空比:占空比=高电平计数/(高电平计数+低电平计数)

三 电路与程序设计

根据题目要求,经过仔细考虑制订了一个比较可行的方案,系统方框图

如下:

图1系统方框图

(一)电路设计

(1)换挡指示灯电路设计

模块共使用了7个LED 灯,分别指示测频、测周、测占空比、HZ 挡、KHZ 挡、ms 挡、um 挡。

独立键盘

FPGA (EP2C8Q208C

数码管

信号发生器

直流电源供电

图2指示灯模块电路设计

(2)直流电源电路设计

图3直流电源电路设计

(二)程序设计

FPGA 控制器采用Verilog 语言进行程序设计,软件总体设计流程图如图4所示。

四、测试方案与测试结果

1.测试仪器 数字信号发生器 2. 数据测量

1.测频

输出频率 测量频率 误差范围

1.01hz 1.01hz 0 10hz 10.00hz 0 100hz 100.00hz 0 1khz 1.00khz 0 10khz 10.00khz 0 100khz 100.00khz 0 999khz 999.00khz

2.测周期

输出周期

测量周期

误差范围

数据采集

测频计数 测周计数

测占空比计数

档位选择、指示灯选择

按键

数码管显示

指示灯显示

开始

结束

1.01hz(990.099ms) 990.099ms 0

10hz(100ms) 100ms 0

100hz(10ms) 10ms 0

1khz(1ms) 1ms 0

10khz(100us) 100us 0

100khz(10us) 10us 0

1mhz(1us) 0.960us 4%

3.测占空比

输出占空比测量占空比误差范围

1% 1.07% 7%

10% 10.05% 0.5%

20% 20.21% 1%

30% 29.98% 0.01%

40% 40.13% 0.27%

50% 49.80% 0.04%

60% 59.96% 0.01%

70% 69.72% 0.4%

80% 79.69% 0.4%

90% 89.69% 0.33%

99% 98.63% 0.37%

五、结论

基本功能是否实现扩展功能是否实现测频实现自做电源实现测周期实现自做信号发生器未实现测占空比实现

附件

(如果想要源程序请单独留言,太多就不传了。)

相关文档
最新文档