十五路数字抢答器的设计
毕业设计157十五路抢答器设计
十五路抢答器设计一、设计的目的通过本课题设计,掌握数字电路系统的设计方法。
二、设计的内容要求1.设计一个智力抢答器,可同时供15名选手参加比赛,对应15个抢答按钮。
2.主持人设置一个控制开关,用来控制系统得清零(显示数码灭)和抢答开始。
3.抢答器具有数据锁存功能,抢答开始后,若有选手抢答,编号立即锁存,LED显示选手编号。
同时扬声器给出音响提示,此外,要封锁输入电路。
4.(扩展功能)定时抢答,主持人设定时间,启动开始后,定时器立即减计时,并用显示器显示。
5.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示选手编号和抢答时刻的时间,并保持到主持人将系统清零为止。
6.如果定时抢答的时间已到,却没有选手抢答,本次抢答无效,并封锁输入电路,报警,禁止超时抢答。
三、参考元件74LS192 NE555 74LS48 74LS279 74LS148 74LS00 74LS121发光二极管数码显示器电阻电容四、设计步骤与要求1.拟定组成框图;2.设计各单元电路,要求步线整齐、美观、便于线路连接调试;3.仿真测试逻辑功能,已满足设计功能要求;4.画出整机逻辑电路图;5.写出设计论文。
五、设计成果1.设计说明书,不少于6000字,用A4纸打印装订;1.设计电路总图一张,用电路设计软件绘图;2.电子文档六、主要参考资料数字电子教材数字电子电子电路设计相关资料集成电路产品手册目录引言 (1)一、设计任务与要求 (1)(一) 基本功能 (1)(二) 扩展功能 (1)二、抢答器组成框图 (2)(一) 抢答器组成总框图 (2)(二) 各个电路的组成 (2)(三) 抢答器组成具体框图 (3)三、单元电路 (3)(一) 抢答电路 (3)1. 主要功能 (3)2. 电路原理图 (4)3. 子电路 (4)(二) 定时电路 (8)1.主要功能 (8)2.电路原理图 (8)3.子电路 (8)(三) 报警电路 (11)1. 主要功能 (11)2. 电路原理图……………………………………………………………...11.(四) 控制电路 (12)1. 主要功能 (12)2.子电路 (12)四、15路抢答器设计总结 (14)五.、致谢 (15)六、参考文献 (15)七、总电路原理图 (16)附件摘要:数字抢答器由主体电路与扩展电路组成。
数字式竞赛抢答器设计报告
数字式竞赛抢答器设计报告实验日期:学院:班级:姓名:学号:1、设计任务与要求(1)设计一个可容纳7组参赛的数字式抢答器,每组设一个按钮,供抢答使用。
(2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。
(3)设置一个主持人“复位”按钮。
(4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,由指示灯显示抢答组的编号,同时扬声器发出2~3秒的音响。
选做扩展功能:(5)设置一个计分电路,每组开始预制100分,由主持人计分,答对一次加10分,答错一次减10分。
2、设计原理定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分构成,主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。
扩展电路完成各选手的得分显示功能。
定时抢答器的工作过程是:接通电源时,主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯;抢答开始时,主持人将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,这时,抢答器完成以下工作:(1)优先编码器电路立即分辨出抢答者编号,并由锁存器进行锁存,然后由译码显示电路显示编号;(2)扬声器发出短暂声响,提醒主持人注意;(3)控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;(4)当选手将问题回答完毕,主持人操作计分开关,计分电路采用十进制加/减计数器、数码管显示。
本轮抢答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。
单元电路设计:(1)抢答电路抢答电路包括抢答按钮、优先编码电路、锁存器、译码显示电路。
抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按键操作无效。
1)抢答按钮电路抢答按钮电路由8个按钮开关及限流电阻所组成,如图2所示。
其供电电源选5V与系统电源一致。
限流电阻的确定,一方面要考虑开关断开时,要保证加到编码器(TTL电路)输入端的高电平大于器件所需的最低高电平(如2V);另一方面又要考虑开关闭合时,不至于有太大电流以增加电源消耗。
数字抢答器的毕业设计
株洲师范高等专科学校2010 届毕业论文(设计)数字抢答器毕业设计系部:物理与电子工程系学生姓名:杨小英指导教师:黄卓冕专业:应用电子技术班级:07级应电班2010 年6 月目录摘要 (I)Abstract (I)第1章绪论 (1)第2章抢答器的系统概述 (2)2.1设计任务及要求 (2)2.2 设计方案论证 (2)2.3 抢答器的工作原理 (4)2.4优先判断与编号锁存电路 (3)第3章抢答器的单元电路设计 (6)3.1抢答器设计中的优先编码电路 (6)3.2抢答器设计中的定时电路 (8)3.3抢答器设计中的报警电路 (9)3.4抢答器设计中的时序控制电路 (10)3.5七段显示译码器与数码管 (11)3.6抢答器的功能说明 (13)第4章总结 (14)参考文献 (15)致谢 (16)附录 (17)摘要数字抢答器由主体电路与扩展电路组成。
优先编码电路、锁存器、译码电路将电路的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。
通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。
经过布线、焊接、调试等工作后数字抢答器成形。
更具实用性。
关键字:抢答电路定时电路报警电路时序控制电路AbstractThe figure vies for the answering device by the subject circuit and expands the circuit to make up . Have priority in code circuit , latch , decipher circuit and export the input signal of the entrant team on the display; Starting the warning circuit withKey word: Vie for answering the circuit Timing circuit Warning circuit Time sequence controlling第1章绪论第1章绪论当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题,如果要是让抢答者用举手等方法,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。
15路无线抢答器简单介绍
15路无线抢答器摘要15路无线抢答器主要由抢答无线发射机和接收主机电路组成.抢答无线发射器电路主要有NE555等元件组成,通过其多谐振荡器输出的脉冲信号使光电耦合器导通,光电耦合器内部的晶体连接DTMF编码器MK5087线端。
抢答电路的功能有两个:一是能分辨选手按键的先后,并锁存优先抢答者的编号,哄译码显示电路用;二是要使其他选手的按键操作无效。
选用优先编码74Lsl48和Rs锁存器74Ls279可以完成上述功能。
而接受主机除了可显示抢答号码之外还具有抢答倒计时和抢答犯规提示功能。
在抢答设计部分,采用数显,主持人具有清零功能,只有清零后,才能开始进行抢答后具有锁定功能,并进行声警.关键词发射接受抢答报警4.1 引言科技的飞速发展,技术的不断更新换代,现代化社会的每个角落中都存在着有关科技方面的信息,经济和科技的发展几乎可以达到同步,同样在信息时代的社会中,电子行业也是科技含量很高的,作为新世代,跨世纪的大学生来讲,并且自己所学的专业是有关电子方面的,我们有责任把这门课程学好,学到它的精华之处,把所学到的知识投入到发展速度快的社会中,增添更多的光彩,使国家的科学在此基础上有更大的突破,超越其它的国家,为自己的祖国和现代化建设尽自己微薄的力量。
4.2 设计要求及分析在设计要求中要实现的是15路无线的抢答,抢答器的设计是各式各样的,分无线和有线的,无线的设计更优于有线的,该电路的设计分为发射和接受两部分电路构成,发射电路采用MK5087集成块,该集成块目前较为广泛,信号经MK5087处理成的串行数据输出,再经过成品的发射块T630输出的数据再经T631发射调制后经内置天线发射出去;接受电路是采用YN9101 CD4544,CD4011,CD4001等一些用途较为广泛的集成块,由发射电路发出的信号经过接收后再经DTMF解码电路及显示电路输出串行数据,并由输出的高电平使其产生报警,采用555的单稳态实现报警,若再次抢答,只需主持人再次按键即可实现无线抢答,在次要注意的是在驱动显示时,要通过限流电阻,避免流过数码管的电流过大,导致损坏,15路抢答器的实现最主要的就是发射和接受电路,以上便可实现抢答的功能。
抢答器的设计与制作(五篇范例)
抢答器的设计与制作(五篇范例)第一篇:抢答器的设计与制作抢答器的设计与制作抢答器是竞赛问答中一种常用的必备装置,从原理上讲,它是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
从有利于学习的角度考虑,这里主要介绍以中小规模集成电路和PLD器件设计抢答器的方法。
1抢答器的基本组成及工作原理1.1抢答器的组成抢答器的一般构成框图如图1.1所示。
它主要由开关阵列电路、触发锁存电路、编码器、7段显示器几部分组成。
下面逐一给予介绍。
图1.1抢答器的组成框图(1)开关阵列电路该电路由多路开关所组成,每一竞赛者与一组开关相对应。
开关应为常开型,当按下开关时,开关闭合;当松开开关时,开关自动弹出断开。
(2)触发锁存电路当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。
若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。
(3)编码器编码器的作用是将某一开关信息转化为相应的8421BCD码,以提供数字显示电路所需要的编码输入。
(4)7段显示译码器译码驱动电路将编码器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。
(5)数码显示器数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管。
本设计提供的为LED数码管。
1.2抢答器的工作原理(1)开关阵列电路图1.2所示为8路开关阵列电路,从图上可以看出其结构非常简单。
电路中,R1~R8为上拉和限流电阻。
当任一开关按下时,相应的输出为低电平,否则为高电平。
图1.2开关阵列电路(2)触发锁存电路图1.3所示为8路触发锁存电路。
图中,74HC373为8D锁存器,一开始,当所有开关均未按下时,锁存器输出全为高电平,经8输入与非门和非门后的反馈信号仍为高电平,该信号作为锁存器使能端控制信号,使锁存器处于等待接收触发输入状态;当任一开关按下时,输出信号中必有一路为低电平,则反馈信号变为低电平,锁存器刚刚接收到的开关被锁存,这时其它开关信息的输入将被封锁。
多路抢答器的设计与制作
多路抢答器的设计与制作多路抢答器是一种常见的电子设备,广泛应用于学校、培训机构、竞赛等场合。
其设计与制作涉及电子技术、程序设计等多个领域,具有一定的技术难度。
本文将从多路抢答器的原理、设计要点以及制作过程等方面进行详细探讨。
一、多路抢答器原理多路抢答器是通过电子设备实现对多个参与者进行快速抢答并记录得分的系统。
其原理主要包括信号输入与处理、得分记录以及显示等几个方面。
信号输入与处理是多路抢答器的核心部分。
常见的信号输入方式包括按键式和无线式两种。
按键式抢答器通过参与者按下相应按键来触发信号输入,而无线式则通过无线设备将参与者发出的信号传输到主控制单元。
得分记录是指在参与者快速抢答回答后,系统能够准确记录并显示其得分情况。
这需要在系统中设置相应的得分计算规则,并通过程序实现对参与者快速回答情况进行判断和计算。
显示部分主要包括显示屏幕和指示灯两种形式。
显示屏幕通常用于显示参与者的得分情况以及抢答的结果,而指示灯则用于指示参与者抢答的状态,如是否已经按下按键等。
二、多路抢答器设计要点设计多路抢答器需要考虑多个方面,包括系统稳定性、操作便捷性以及扩展性等。
系统稳定性是设计多路抢答器的首要要点。
在信号输入与处理部分,需要保证信号传输的稳定性和准确性。
对于按键式抢答器,按键需要具有良好的触发感和反馈感;对于无线式抢答器,无线传输设备需要具备稳定的信号传输能力。
操作便捷性是指多路抢答器在使用过程中操作简单、方便。
参与者能够快速准确地进行抢答回答,并能够清晰地看到自己和其他参与者的得分情况。
此外,系统还应提供一些辅助功能如倒计时提醒等,以提高整个系统的使用便捷性。
扩展性是指多路抢答器能够满足不同场合和不同规模比赛需求。
在设计上应考虑到系统模块化、可拓展性,以便根据实际需要进行扩展和改进。
例如,可以通过增加参与者数量、改变信号输入方式等方式来扩展系统的功能。
三、多路抢答器制作过程多路抢答器的制作过程可以分为硬件设计和软件编程两个阶段。
抢答器设计及程序
抢答器设计及程序一、简要说明在进行智力竞赛抢答题比赛时,在一定时间内,各参赛者考虑好答案后都想抢先答题。
如果没有合适的设备,有时难以分清他们的先后,使主持人感到为难。
为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,我们将它称为智力竞赛抢答器。
二、设计要求1.最多可容纳15名选手或15个代表队参加比赛,他们的编号分别为1到15,各用一个抢答按钮,其编号与参赛者的号码一一对应。
此外,还有一个按钮给主持人用来清零,主持人清零后才可进行下一次抢答。
2.抢答器具有数据锁存功能,并将所锁存的数据用LED数码管显示出来。
在主持人将抢答器清零后,若有参赛者按抢答按钮,数码管立即显示出最先动作的选手的编号,抢答器对参赛选手动作的先后有很强的分辨能力,即较他们动作的先后只相差几毫秒,抢答器也能分辨出来。
数码管不显示后动作选手的编号,只显示先动作选手的编号,并保持到主持人清零为止。
3.在各抢答按钮为常态时,主持人可用清零按钮将数码管变为零状态,直至有人使用抢答按钮为止。
抢答时间设为10秒。
在10秒后若没有参赛者按抢答按钮,抢答按钮无效。
并保持到主持人清零为止。
三、设计提示1.输入输出信号输出显示的位扫描时钟信号可以作为键盘输入的检测扫描信号。
10秒定时计数器的时钟信号可以选2Hz的时钟。
复位信号用来使10秒定时器和键盘编码器清零。
15个按键输入信号应进行编码。
A—G数码管段驱动信号。
SEG0,SEGl 数码管位驱动信号。
2.系统功能按下异步复位键,10秒定时器和键盘编码器清零。
放开异步复位健后,启动定时器,并允许键盘编码器扫描信号输入端,如在10秒内发现有输入信号,将其编码输出,同时使定时器停止计时;否则,停止扫描编码和定时,直到再次按下异步复位健键。
把16进制编码转换为十进制码,经译码后显示。
3.设计框图如图:四、程序代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity answer isport(KEY_IN: in std_logic_vector(15 downto 1);CLEAR: in std_logic;SCANCLK: in std_logic;CLK1S: in std_logic;LED_OUT: out std_logic_vector(6 downto 0);SCAN_OUT: out std_logic;SOUND_OUT: out std_logic);end answer;architecture rtl of answer issignal KEY_CODE: integer range 0 to 15;signal KEY_CODE_REG: integer range 0 to 15;signal KEY_EN: std_logic;signal NUM1: integer range 0 to 9;signal NUM2: integer range 0 to 9;signal KEY_EN1,KEY_EN2: std_logic;signal KEY_IN1,KEY_IN2,KEY_INS: std_logic_vector(15 downto 1); signal HEX: integer range 0 to 9;signal TIME_CNT: std_logic_vector(3 downto 0);beginprocess(KEY_EN,KEY_IN,SCANCLK,CLEAR)beginif CLEAR = '0' thenKEY_CODE_REG<=0;elsif SCANCLK'event and SCANCLK = '1' thenif KEY_CODE_REG = 0 thenKEY_CODE_REG<=KEY_CODE;end if;end if;end process;process(SCANCLK,CLEAR,KEY_IN)beginif SCANCLK'event and SCANCLK = '1' thenKEY_IN2 <= KEY_IN1;KEY_IN1 <= KEY_IN;end if;end process;KEY_INS<=not KEY_IN2 or KEY_IN1;KEY_CODE<=0 when KEY_EN = '0' else1 when KEY_INS(1)='0' else2 when KEY_INS(2)='0' else3 when KEY_INS(3)='0' else4 when KEY_INS(4)='0' else5 when KEY_INS(5)='0' else6 when KEY_INS(6)='0' else7 when KEY_INS(7)='0' else8 when KEY_INS(8)='0' else9 when KEY_INS(9)='0' else10 when KEY_INS(10)='0' else11 when KEY_INS(11)='0' else12 when KEY_INS(12)='0' else13 when KEY_INS(13)='0' else14 when KEY_INS(14)='0' else15 when KEY_INS(15)='0' else0 ;process(CLK1S,CLEAR,KEY_EN)beginif CLEAR = '0' thenTIME_CNT <= "0000";elsif CLK1S'event and CLK1S = '1' thenif KEY_EN='1' thenTIME_CNT<=TIME_CNT + 1;end if;end if;end process;KEY_EN<='1' when KEY_CODE_REG = 0 and TIME_CNT<=9 else '0';process(CLK1S,CLEAR,KEY_EN)beginif CLEAR = '0' thenKEY_EN1 <= '1';KEY_EN2 <= '1';elsif CLK1S'event and CLK1S = '1' thenKEY_EN2 <= KEY_EN1;KEY_EN1 <= KEY_EN;end if;end process;SOUND_OUT<=SCANCLK when KEY_EN1='0' and KEY_EN2='1' else '0';with HEX selectLED_OUT<="0000110" when 1,"1011011" when 2,"1001111" when 3,"1100110" when 4,"1101101" when 5,"1111101" when 6,"0000111" when 7,"1111111" when 8,"1101111" when 9,"0111111" when OTHERS;HEX<= NUM1 when SCANCLK='0' else NUM2;NUM2<=1 when KEY_CODE_REG>9 ELSE 0;NUM1<=KEY_CODE_REG when KEY_CODE_REG<=9 ELSE KEY_CODE_REG-10;SCAN_OUT <= SCANCLK;end rtl;。
数字抢答器课程设计摘要
数字抢答器课程设计摘要一、课程目标知识目标:1. 让学生理解数字抢答器的基本工作原理,掌握其电路组成及功能。
2. 学会使用数字抢答器进行简单的竞答活动,并能分析其优缺点。
3. 掌握数字抢答器中涉及的电子元件知识,如按钮、LED灯、晶体管等。
技能目标:1. 培养学生动手操作能力,能独立完成数字抢答器的组装和调试。
2. 提高学生问题解决能力,能针对数字抢答器出现的问题进行排查和维修。
3. 培养学生团队协作能力,通过小组合作完成竞答活动。
情感态度价值观目标:1. 培养学生对电子技术的兴趣和爱好,激发学习热情。
2. 培养学生勇于尝试、不断探索的精神,提高创新意识。
3. 增强学生竞争意识和公平意识,培养良好的竞赛道德。
分析课程性质、学生特点和教学要求:本课程为电子技术实践课程,旨在让学生在动手实践中学习数字抢答器的工作原理和组装技巧。
针对初中年级学生,课程内容紧密结合课本知识,注重实践操作和团队合作。
教学要求注重培养学生动手能力、问题解决能力和创新精神,同时关注学生情感态度的培养。
课程目标分解:1. 知识目标:通过讲解、示范和实践活动,让学生掌握数字抢答器的基本原理和电路组成,学会使用和分析竞答活动。
2. 技能目标:通过动手实践,培养学生组装、调试和维修数字抢答器的能力,提高团队协作能力。
3. 情感态度价值观目标:通过竞答活动,培养学生对电子技术的兴趣,激发学习热情,培养良好的竞赛道德。
二、教学内容本章节教学内容紧密围绕课程目标,结合课本相关章节,组织以下内容:1. 数字抢答器原理介绍:讲解数字抢答器的基本工作原理,电路组成,涉及电子元件的功能及相互关系。
2. 电路元件识别与使用:学习按钮、LED灯、晶体管、电阻、电容等电子元件的识别和使用方法。
3. 数字抢答器组装与调试:指导学生按照电路图进行数字抢答器的组装,并学会使用万用表等工具进行调试。
4. 竞答活动组织与实施:设计竞答题目,组织学生进行数字抢答器竞答活动,培养学生的实际操作能力。
竞赛抢答器的设计与实现
竞赛抢答器的设计与实现[摘要]智力竞赛是一种生动活泼的教育方式,而竞赛抢答器是答题竞赛游戏中经常要用到的电子设备,利用它可以公平的给予每一个答题者公平的答题机会和答题时间。
数字抢答器由主体电路与扩展电路组成。
优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出,用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。
通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。
抢答器具有第一个抢答信号的鉴别和数据锁存、显示的功能。
抢答开始后,若有选手按抢答按钮,则该选手指示灯亮,并在数码管上显示相应编号,扬声器发出音响提示。
同时,电路应具备自锁功能,禁止其他选手再抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。
抢答器具有计分、显示功能。
预置分数可由主持人设定,并显示在每名选手的计分牌上,选手答对加10分,答错扣10分。
抢答器具有定时抢答的功能。
一次抢答的时间由主持人设定,在主持人发出抢答指令后,定时器立即进行减计时,并在显示器上显示,同时扬声器发出短暂声响。
[关键词]抢答;鉴别;计分Contest Design and Implementation of Responder[Abstract]A quiz is a lively way of education, while Contest Responder is the answer to the contest the game often use electronic equipment, which allows you a fair answer given to each person a fair chance to answer and answer time. Digital Responder from the main circuit and expansion circuit. Priority encoder circuit, latch, decoder circuit teams input signal on the monitor output, with control circuitry and the host switch to start alarm circuit, the above two parts of the main circuit. Through timing circuit and decoding circuit will second pulse signals generated by the output display to achieve timing functions, constitute the expansion of the circuit.Responder with the first one to answer in the signal identification and data latches, display function. To answer in the start, if players press to answer in the button, then the player indicator light, and the corresponding number of digital tube display, speakers, audio prompts given. At the same time, the circuit should have self-locking function, and then answer in his place against the other players to give priority to answer in his player's number has remained the host until the system is cleared. Responder has the points display. Pre-scores can be host settings, and displayed in each player's scoreboard, the player correct answers plus 10 points, got the wrong answer deducted 10 points. Responder has a Timing Responder function. An answer in the time set by the host, the host to issue to answer in order, the timer immediately by time, and displayed on the monitor at the same time issued a short sound speaker.[Key words]Responder;Identification;Scoring目录[摘要] .............................................................................................................................. I I [Abstract] .. (III)1.绪论 .................................................................................................................................. - 1 -1.1 课题研究的相关背景 ........................................................................................... - 1 -1.2 课题的目的与意义 ............................................................................................... - 1 -1.3 课题研究的内容 ................................................................................................... - 1 -1.4 国内外研究现状 ................................................................................................... - 2 -1.5 抢答器目前存在的主要问题 ............................................................................... - 2 -2.竞赛抢答器的设计与实现 .............................................................................................. - 3 -2.1 方案设计一 ........................................................................................................... - 3 -2.2 方案设计二 ........................................................................................................... - 4 -2.3 方案比较 ............................................................................................................... - 6 -2.4 方案设计原理 ....................................................................................................... - 6 -3.竞赛抢答器的实现原理 .................................................................................................. - 7 -3.1 EDA原理简介 ........................................................................................................ - 7 -3.2 EDA开发工具 ........................................................................................................ - 8 -3.3 EDA开发步骤 ........................................................................................................ - 9 -4.单元模块设计 .................................................................................................................- 10 -4.1 抢答鉴别单元 ......................................................................................................- 10 -4.2 计分器电路单元 ..................................................................................................- 10 -4.3 计时器电路单元 ..................................................................................................- 10 -4.4 译码器电路单元 ..................................................................................................- 10 -5.系统的有关仿真 .............................................................................................................- 12 -5.1 抢答鉴别单元 ......................................................................................................- 12 -5.2 计分器电路单元 ..................................................................................................- 12 -5.3 计时器电路单元 ..................................................................................................- 13 -5.4 译码器电路单元 ..................................................................................................- 13 -5.5 模块的封装 ..........................................................................................................- 14 -6.硬件测试 .........................................................................................................................- 15 -6.1 编程下载 ..............................................................................................................- 15 -6.2 试验箱的调试 ......................................................................................................- 17 - 结论 .............................................................................................................................- 18 - 致谢 .............................................................................................................................- 20 - 参考文献 .............................................................................................................................- 21 - 附录 A .............................................................................................................................- 22 - 附录 B .............................................................................................................................- 29 - 附录 C .............................................................................................................................- 30 -1.绪论1.1 课题研究的相关背景抢答器是一种应用非常广泛的设备,在各种竞赛、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。
数电实验之抢答器的设计
实验原理图
触发器
数字电路: 分组合逻辑电路和时序逻辑电路两大类 组合逻辑电路的基本单元是基本门 时序逻辑电路的基本单元是触发器
触发器的必备特点: 具有两个能自行保持的稳态(1态或0态); 外加触发信号时,电路的输出状态可以翻转; 在触发信号消失后,能将获得的新态保存下来。
实验内容
要求设计一个三人智力竞赛抢答电路。 具体要求: 1、主持人按下“开始”开关后,“允许抢答”指示
灯亮,同时使“抢答指示灯”熄灭,解除选手之 间的封锁。抢答限定时间(设计为5秒)结束时 “允许抢答”指示灯熄灭。选手应在“允许抢答” 指示灯亮时抢答有效,过时无效。
2. 每个选手操纵一个“抢答” 微动开关,以控 制自己的一个“抢答指示灯”。抢先按动开 关者能使自己的“抢答指示灯”亮,同时封 锁其余两人的动作,即其余两人即使再按动 开关也不起作用。主持人按“开始”微动开 关时,使“抢答指示灯”熄灭,解除选手之 间的封锁以达到以重新开始之目的;
当主持人再按下按钮,新一轮抢答开始。
使用的芯片介绍
74LS11(3-3输入与非门) P227 74LS74(双上升沿D型触发器)P230
面包板
注意美观,线紧贴面包板,线路最好不要交叉。
微动开关
思题(P85)
设计“抢答电路”为什么必须用触发器?
时序逻辑电路:在任意时刻,输出不仅与该时刻的输入有关,而 且还和电路的原来状态有关。 抢答者抢答成功,不仅与自己的按钮有关,也与主持人事先让各路复 位并发出有效信号有关,即电路必须与前面所发生的情况有关,所 以是时序逻辑电路,时序逻辑电路仅用逻辑门不能完成,所以使 用触发器。使用D触发器是因为Q=D,使电路结构最简单。
简易数字抢答器设计
设计题目:(简易数字式竞赛抢答器设计)简易数字式竞赛抢答器设计一、设计任务及要求:在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。
通过抢答器的数显、灯光和音响等手段指示出第一抢答者。
同时,还可以设置定时、记分、犯规及奖惩记录等多种功能。
(一)具体要求:设计制作一个可容纳3个组参赛的抢答器。
1、每组设置一个抢答开关,分别为S0,S1,S2(高电平,即逻辑“1”有效)。
2、设置主持人控制键:J0是用于控制整个系统清零的按钮(高电平,即逻辑“1”有效),J l是用于发布抢答开始命令的开关(高电平,即逻辑“1”有效)。
3、设计抢答定时(20秒)电路,且计时起点与抢答命令J1同步,抢答者必须在定时20秒内进行抢答,超时而无人抢答则题目作废。
4、设计第一抢答信号鉴别和锁存功能。
在主待人发布抢答命令之后,第一抢答者按下抢答开关后,电路应记忆下第一抢答者的组别,并封锁其他各组的按钮,即其他任何组按键都不会使电路响应。
(二)输入输出说明:1、输入信号:四个控制开关S0、S1、S2、J1 和1个按钮J0。
2、外部输入脉冲信号时钟源CP(2Hz),经适当分频后供控制器和定时器使用。
3、定时时间输出接到外部的2个8421BCD数码管M1、M2上,显示定时时间(19~00)。
4、输出以发光二极管LED方式指示第一抢答者,各组的发光二极管分别是L0,L1,L2。
其具体框图如下:根据如上说明,本设计的主要任务和设计要求是:1、按照现代数字系统的Top-Down模块化设计方法,提出数字式竞赛抢答器设计系统的整体设计方案,并进行正确的功能划分,分别提出并实现控制器、定时器、第一信号鉴别等模块化子系统的设计方案。
2、在ModelSim的EDA设计环境中,完成系统的顶层设计、各子系统的模块化设计。
分别完成各个基于V erilog HDL语言实现的子模块(包括控制电路、定时电路、第一信号鉴别电路)的逻辑功能仿真。
数字抢答器设计完整版
数字抢答器设计HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】唐山学院数字电子技术课程设计题目数字抢答器设计系 (部) 信息工程系班级 12电本班姓名学号 4120208xxx指导教师马军爽樊艳2014 年 6 月 30 日至 7 月 4 日共 1 周2014年 7 月 3 日目录1 引言抢答器是一种应用非常广泛的设备,无论是在学校、工厂、军队还是益智性电视节目都会举办各种各样的智力竞赛,都会用到抢答器。
它能准确、公正、直观地判断出抢答者的座位号,也能显示出每个选手的抢答时间,使比赛大大增加了娱乐性的同时,也更加公平、公正。
目前市场上已有各种各样的智力竞赛抢答器,但绝大多数是早期设计的,由模拟电路、数字电路或者模拟电路与数字电路相结合的产品。
数字抢答器由主体电路与扩展电路组成。
优先编码器、锁存器、译码电路将参赛队的输入信号在显示器上输出;主持人按开始按钮示意开始,以上两部分组成主体电路。
通过定时电路实现计时功能,构成扩展电路。
在抢答电路中利用一个优先编码器译出最先抢到答题权的选手编号并经数码管显示出来,同时给出声音提示,还要封锁电路,使其他选手再抢答则无效。
当选手问答完成后,主持人将系统恢复。
如果抢答时间已到,却没有选手抢答,则本次抢答无效,报警电路发出报警,禁止选手超时后抢答。
本设计以六路抢答器为基本概念,从实际应用出发,利用multisim完成了原理图设计和电路仿真,由抢答电路、倒计时电路、报警电路组成。
实现能同时供6人抢答的电路。
2 仿真软件介绍MultisimMultisim10是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟、数字电路板的设计工作。
它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。
工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。
电子技术课程设计 数字式竞赛抢答器
目录一、设计任务与要求 (1)二、总体框图 (1)三、选择器件 (2)四、功能模块 (3)五、总体设计电路图 (10)六、设计心得体会 (11)数字式竞赛抢答器一、设计任务与要求在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。
同时,还可以设置计分、犯规及奖惩记录等多种功能。
本设计的具体要求是:(1)设计一个可容纳4组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。
(2)电路具有第一抢答信号的鉴别功能。
在主持人将系统复位并发出抢答指令后,若参赛者按抢答开关,则该组指示灯亮并用组别显示电路显示抢答者的组别,同时扬声器发出“嘟嘟”的双音音响,且持续2-3秒。
此时,电路应具备自锁功能,使别组的抢答开关不起作用。
(3)设置计分电路。
每组在开始时预置成00分,抢答后由主持人计分,答对一次加10分,否则减10分。
二、总体框图根据系统设计要求可知,系统的输入信号有:各组的抢答按钮A、B、C、D, 系统清零信号CLR,计分复位端RST,加分按钮ADD;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出端口LEDA、LEDB、LEDC、LEDD,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。
本系统应具有的功能有:第一抢答信号的鉴别和琐存功能;各组得分的累加的动态显示功能。
由以上分析可知,可将整个系统分为两个主要模块:抢答鉴别模块QDJB;抢答计分模块JFQ。
对于需要显示的信息,需要接译码器,进行显示译码。
整个系统的组成框图如图(一)所示。
图(一)数字式抢答器的组成框图系统的设计思路如下:当主持人按下使能端时,A、B、C、D四位抢答者谁最先抢答成功则此选手的台号灯(LEDA-LEDD)将点亮,并且主持人前的组别显示数码管将显示出抢答成功者的台号;接下来主持人提问,若回答正确,主持人按加分按钮ADD,抢答计分模块JFQ将给对应的组加分,并将该组的总分显示在对应的选手计分数码管JF2_A-JF0_A、JF2_B_JF0_B、JF2_C-JF0_C、JF2_D-JF0_D 上。
数电课程设计报告---数字式竞赛抢答器
数字电子技术课程设计题目数字式竞赛抢答器院系信息工程学院班级学号学生姓名指导教师完成时间2014年11月26日目录一.设计目的二.设计指标:三.总体框图设计:四.功能模块设计:五.实验仪器、工具六.元件清单七.总结一.设计目的:通过多路数字数字抢答器的设计实验,要求学生回顾所学数字电子技术的基础理论和基础实验,掌握组合电路,时序电路,编程器件和任意集成电路的综合使用和设计方法,熟悉掌握优先编码器,触发器,计数器,单脉冲触发器,555路,译码,编码/驱动电路的应用方法,熟悉掌握时序电路的设计方法。
达到数字实验课程大纲要求掌握的基本内容。
二. 设计指标:(1)设计一个可容纳7组参赛的数字式抢答器,每组设一个按钮,供抢答使用。
(2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。
(3)设置一个主持人“复位”按钮。
(4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,由指示灯显示抢答组的编号,同时扬声器发出2~3秒的音响。
(5)设置一个计分电路,每组开始预制100分,由主持人计分,答对一次加10分,答错一次减10分。
三.总体框图设计:整体电路分为:主电路与计分电路。
主电路:该部分由抢答与控制开关电路,优先编码电路,锁存器,译码器电路,显示电路控制电路,报警电路组成。
扩展电路:预制控制电路,计分电路,译码电路与显示电路组成。
优先编码电路选用的芯片为74ls14874ls148的引脚图与真值表:锁存电路选用的芯片是74ls279,引脚图与真值表:译码器电路选用的芯片为74ls48,引脚图和真值表:报警电路选用NE555,引脚图和真值表:扩展电路的计分电路选用芯片74ls192,引脚图和真值表:四:功能模块设计:1.抢答部分与报警部分电路原理图原理描述:芯片74ls148,74ls279,74ls48与七段共阴数码管构成抢答显示电路,选手通过按轻触开关抢答,74ls148的输入端D1,D2,D3,D4,D5,D6,D7(D0接高电平是因为输出的数字为1--7)采集开关一端的电平状态,低电平有效,D7优先级最高,并且分别编码,输出给74ls279的三个独立锁存器的s端,将判断输入有无的输出信号GS 的状态输出给74ls279的第四个锁存器(将其称为控制锁存器)的s 端,其R端接主持人复位开关的一端,Q端接另外三个锁存器的R端用来控制锁存与清零,Q端还与74ls48的灭零输入端BI/RBO相连,用来控制数码管的显示(当主持人按复位键时数码管不显示)。
抢 答 器 电 路 设 计
抢答器电路设计一、设计(shèjì)任务与要求1.可容纳(róngnà)四组参赛的数字式抢答器;2.当某台参赛者按下抢答开关(kāiguān)时,由数码管显示该台编号并伴有声响。
此时,抢答器不再接收(jiēshōu)其他输入信号;3.电路具有定时功能。
要求(yāoqiú)回答问题的时间≤60秒(显示为00~59),时间显示采用倒计时方式。
当达到限定时间时,发出声响提示;4. 具有计分功能。
要求能设定初始分值,能进行加减分;5. 在复位状态下台号数码管不作任何显示(灭灯)二、方案设计与论证1.数字抢答器总体方框图如图(1)所示为抢答器电路总体方框图。
其工作流程为:接通电源后,主持人将开关拨到“复位”状态,抢答器处于禁止状态,编号显示器灭灯;主持人将开关置“开始”状态,抢答器开始工作,等待选手抢答,采用优先判断、编号锁存、编号显示、扬声器提示;当某台参赛者按下抢答开关时,由数码管显示该台编号并伴有声响。
此时,抢答器不再接收其他输入信号。
则主持人宣布该选手抢答成功,同时启动定时器,让选手在规定时间内作答,时间显示采用倒计时方式。
当达到限定时间时,发出声响提示;如果再次抢答必须由主持人再次操作"复位"和"开始"状态开关。
图(1)方案(fāng àn)一、采用(cǎiyòng)74LS175构成(gòuchéng)四路抢答器,555定时器接成多谐振荡电路,提供脉冲信号,74LS148与 74LS48译码器接到七段显示(xiǎnshì)数码管显示出选手编号,74LS192设计(shèjì)成倒计时与计分部分电路。
方案二、用组合逻辑器件CD4511 构成四路抢答器。
CD4511 实现优先抢答的锁存、编号进直接把锁存器的输出转化8421BCD码,数码管显示先抢答者的编号,同时四路抢答器发出响声;主持人通过“复位”按钮清除数码管的显示和停止响声。
数字抢答器的设计及PCB板制作
数字抢答器的设计及PCB板制作毕业论文〔设计〕题目数字抢答器的设计及PCB板制作姓名李学号院系洛阳理工学院学院专业机电一体化指导教师当宝华职称讲师2010年5月18日目录摘要 (1)关键词 (1)Abstract (1)Key words (1)引言 (1)1 PROTEL 99SE介绍 (2)1.1 PROTEL99SE系统的组成 (2)1.1.1 电路工程设计部分 (2)1.1.2 电路仿真与PLD部分 (2)1.2 PROTEL99SE的功能特性 (2)2 抢答器的系统简介 (2)2.1 功能简介 (2)3 设计原理与电路 (3)3.1 数字抢答器的结构框图 (3)3.2 主体电路 (4)3.3 扩展电路 (8)4 抢答器其他做法 (10)4.1 门电路做法 (10)4.2 单片机做法 (10)5 总结与展望 (10)致谢 (10)参考文献 (11)附录A PROTEL 整体电路图 (11)附录B PCB板 (12)数字抢答器的设计及PCB板制作通信工程左世宽指导教师李秀娟摘要:抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。
抢答器多向着数字智能化进展,数字抢答器由主体电路与扩展电路两大部分组成:优先编码电路、锁存器、译码电路将输入信号显示;用操纵电路和开关启动报警电路,以上两部分组成主体电路;通过定时电路和译码电路将脉冲信号在显示器上输出实现计时功能,构成扩展电路。
抢答器除具有差不多的抢答功能外,还具有定时、计时和报警多重功能。
主持人通过时刻预设开关预设供抢答的时刻,系统将完成自动倒计时。
关键词:抢答器锁存器报警电路译码电路Design of Digital Answering Device and PCB Board ProductionStudent majoring in Communication Engineering Shikuan ZuoTutor Xiujuan LiAbstract:Responder is a tool that has been widely used in various occasions of intelligence and knowledge competitions. Responder develops toword digital intelligence, digital answering device extended from the main circuit and the circuit two parts: the priority encoder circuit, latch, decoder input signal display; with the control circuit and switch startup alarm circuit, these two parts of the main circuit; through the regular circuit and decoding circuit will pulse the display output to achieve timing function, form expansion circuit.Responder addition to a basic function, but also with time, multiple time and alarm functions. Default by the time the default host for the answer in the time switch, the system will complete the automatic countdown.Key words:Responder;Latch;Alarm Circuit;Decoding circuit引言抢答器在竞赛中有专门大用处,它能准确、公平、直观地判定出第1抢答者。
数字式竞赛抢答器数电课程设计
摘要数字式竞赛抢答器是有抢答、提前抢答警报、倒计时、数码管显示等组成。
抢答的部分需要的时序频率高,整个系统需要一个时序提供,中间需要很多的逻辑门电路,还需要555定时器提供时序。
根据抢答器的功能,分成几部分进行模块化设计,更加容易调试和设计。
有抢答模块、时序模块、显示模块、倒计时模块。
在抢答的模块需要考虑竞争关系,还要有锁存抢答的组别,555定时器模块要搭配好电阻和电容,因为他们的比值决定了周期。
在设计电路时,首先是软件模拟mutisim并在软件上进行优化,以达到线路交叉最少,最后买零器件进行焊接工作,焊接完成后进行试验测试和修改。
这个抢答器还可以扩展其他高级功能。
目录●分析问题 (3)●查阅资料 (4)●模块设计 (9)●组合优化 (12)●软件模拟 (12)●器件选择 (13)●电路焊接 (13)●实验调试 (15)●实验总结 (16)分析问题:我们共同协商最终选择了抢答器方案。
根据抢答器的要求:1)设计制作一个可容纳四组参赛的数字式抢答器,每组设置一个抢答按钮供抢答时使用且电路具有第一抢答信号的鉴别和锁存功能。
2)在主持人将系统复位并发出抢答指令后,用数码管显示倒计时和第一抢答组别且该组别对应指示灯亮,同时电路的自锁功能使别的抢答开关不起作用。
3)对提前抢答和超时作答的组别鸣喇叭示警,并由组别电路显示出犯规组别。
扩展要求:设置对应的计分(含加分与扣分)电路。
首先是要有抢答功能,这里用非锁死的按键进行抢答,还涉及到了优先编码器,抢答之后要把抢到的组号锁存,这就用到了锁存器,然后通过led灯显示抢答到的组。
回答问题需要倒计时,用计数器设计倒计时,然后通过数码管显示。
这里需要时钟信号,选用555定时器产生方波信号为整个系统提供时序。
用蜂鸣器提示提前抢答和超时发言,并用数码管显示组别。
中间还需要大量的逻辑的运算,这里就一一的罗列,因为比较繁琐。
这就是大致的方案,接下来就是查资料,实现每个模块。
查阅资料查相关的芯片资料,方便后面的设计:74148:首先是在优先编码器电路中,允许同时输入两个以上编码信号。
智力竞赛抢答器逻辑电路设计
智力竞赛抢答器逻辑电路设计一、抢答器的简要智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们增加一些科学知识和生活知识。
实际进行智力竞赛时,一般分为若干组,各组对主持人提出的问题,分必答和抢答两种。
必答有时间限制,到时要告警,回答问题正确与否,由主持人判别加分还是减分,成绩评定结果要用电子装置显示。
抢答时,要判定哪组优先,并予以指示和鸣叫。
二、抢答器的任务与要求设计要求:每组设置一个抢答器按钮,供抢答者使用。
电路具有第一抢答信号鉴别和锁存功能。
在主持人将系统复位并发出抢答指令后,若抢答者按动抢答开关,则该组指示灯亮并组别鉴别显示电路显示抢答者的组别,同时扬声器发出“嘀-嘟”的双响,音响持续2-3S。
电路具备自锁功能,使别组的抢答器开关不起作用。
设计任务:本题的根本任务是准确判别第一抢答者的信号并将其锁存。
实现这功能可用触发器或锁存器等。
在得到第一信号后应该将其电路的输出封锁,使其他组的抢答信号无效。
同时还必须注意,第一抢答信号必须在主持人发出抢答命令后才有效,否则应视为提前抢答而犯规。
当电路形成第一抢答信号之后,LED显示组电路显示其组别。
还可鉴别出的第一抢答信号控制一个具有两种工作频率交换变化的音频振荡器工作,使其推动扬声器发出响音,表示该题抢答有效。
三、设计方案用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下:1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。
2. 判别选组电路。
能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。
3. 计数、显示电路。
每组有三位十进制计分显示电路,能进行加/减计分。
4. 定时及音响。
必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。
抢答时,当抢答开始后,指示灯应闪亮。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字电子技术课程设计报告设计课题:十五路抢答器设计专业班级:电子信息工程0801学生姓名:孔宏梅谷喜莹指导教师:李艳萍侯桂成设计时间:2010年7月3日星期六十五路抢答器设计设计者孔宏梅谷喜莹指导教师李艳萍侯贵成摘要数字抢答器有主体电路与扩展电路组成。
优先编码电路﹑锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。
通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。
经过布线、焊接、调试等工作后数字抢答器成形。
关键词抢答电路;定时电路;报警电路引言抢答器同时供16名选手或16个代表队比赛,设置一个系统清除和抢答控制开关S,该开关由主持人控制。
抢答器具有锁存与显示功能。
即选手按动按钮,所存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。
选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。
当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。
参赛选手在设定的时间内进行抢答,强调有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。
如果定时时间已到,无人抢答,本次抢答无效,系统报警禁止抢答,定时显示器上显示00一、设计任务与要求(一)基本功能1.可同时15名选手参加比赛,他们的编号分别是1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S1,S2,S3,S4,S5,S6,S7,S8,S9,S10,S11,S12,S13,S14,S15。
2.节目主持人设置一个控制开关,用来控制系统的清零和抢答的开始。
3.数字抢答器应具有数码锁存、显示功能。
抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示选手的编号,同时扬声器给出音响提示。
此外,要封锁输入电路,禁止其他选手抢答。
优先抢答选手的编号一直保持到主持人将系统清零为止。
(二)扩展功能1.定时抢答功能。
抢答器定时为30s,启动起始键后,定时器开始工作,立即减计,并在显示器上显示出来,同时扬声器要短暂报警。
2.参赛选手在设定的时间内抢答(30s),抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。
3.当定时抢答的时间已到,还没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00二、抢答器组成框图(一)抢答器组成总框图图2.2.1 抢答器组成框图(二)、各个电路组成1.照框图,根据功能指标的要求,可以确定各个电路的组成。
1)抢答电路由优先编码电路74LS148,锁存器74LS279组成。
2)定时电路由秒脉冲产生电路NE555,同步计数器74LS1923)报警电路由脉冲产生电路NE555,扬声器组成。
4)控制电路用单稳态触发器74LS121和一些门电路实现。
2.图示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示灭灯,定时显示器显示设定的时间,当节目主持人宣布抢答题目后,说一声“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。
当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。
当选手在定时时间内按动抢答健时,抢答器要完成一下四项工作:1)优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;2)扬声器发出短暂声响,提醒节目主持人注意;3)控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;4)控制电路要是定时器停止工作,时间显示器上剩余的抢答时间,并保持到主持人将系统清零为止。
当选手将问题回答完毕,主持人操作控制开关,使系统恢复到禁止工作状态,以便进行下一轮抢答。
(三)、抢答器组成具体框图图2.3.1 抢答器组成具体框图三单元电路(一)抢答电路1主要功能抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按键操作无效。
选用优先编码器74LS148和RS锁存器74LS279可以完成上述功能,其电路组成如下图所示。
其工作原理是:当主持人控制开关处于“清除”位置时,RS触发器的R端为低电平,输出端(4Q~1Q)全部为低电平,于是74LS48的BI=0,显示器灭灯;74LS148的选通输入端ST=0,74LS148处于工作状态,此时锁存电路不工作,当主持人开关拨到“开始”位置时,优先编码电路和锁存电路同时处于工作状态,即抢答器处于等待工作状态,等待输入端S1~S15输入信号,当有选手将键按下时(如按下S5),74LS148的输出Y2Y1Y0=010,YEX=0,经RS锁存器后,CTR=1,BI=1,74LS279处于工作状态,4Q3Q2Q=101,经74LS48译码后,显示器显示出“5”。
此外,CTR=1,使74LS148的ST端为高电平,74LS148处于禁止工作状态,封锁了其他按键的输入。
当按下的键松开后,74LS148的YEX为高电平,但由于CTR维持高电平不变,所以74LS148仍处于禁止工作状态,其他按键的输入信号不会被吸收,这就保证了抢答者的优先性以及抢答电路的准确性。
当优先抢答者回答完问题后,由主持人操作控制开关S,使抢答电路复位,以便进行下一轮抢答。
2.电路原理图图3.1.2.1抢答电路原理图3.子电路1)优先编码电路根据要求,用两片优先编码器74LS148级连组成。
由74LS148的功能表可以看出当高位片处于工作状态且没有输入时,Y2,Y1, Y0, YEX都是1,Y S为0 ,低位片也处于工作状态。
当高位片有输入时,YS=1 =ST,所以低位片处于封锁状态,同时两片的输出YS=1,高位片YEX为0,低位片的YEX为1。
当低位片用输入时,高位片的YS=0,低位片的YS=1,高位片YEX为1,低位片的YEX为0。
2)锁存器74LS279由基本R-S的状态方程和时序图可看出,表3.1.3.2 锁存器74LS279真值表图3.1.3.2 基本R-S触发器逻辑等号与波形图RS触发器的R端为低电平,由于74LS148没有输入,S都为1,所以输出端(4Q~1Q)全部为0。
当74LS148有输入,相应的S为0,所对应的输出端变为1,当输入退出时,S重新变为1,而对应的输出端保持1不变,实现了锁存的功能。
当ZYEX为0时,Q的输出为1,Q=ST=1,所以令74LS148处于禁止工作状态,使其他按键的输入信号不会被吸收,这就保证了抢答者的优先性以及抢答电路的准确性。
当优先抢答者回答完问题后,由主持人操作控制开关S,使抢答电路复位(即令R=0),以便进行下一轮抢答。
3)4位二进制/BCD码变换电路由全加器74LS283与门电路组成,由于用15路选择,4位二进制数表示的十进制数的范围为0~15,其8421BCD码需用5位二进制数表示,它们之间的对应关系如下表表3.1.3.3 4位二进制/BCD码变换电路真值表1(二)、定时电路1.主要功能节目主持人根据抢答题的难易程度,设定一次抢答的时间(30s ),通过预置时间电路对计数器进行预置,选用十进制同步加/减计数器74LS192进行设计,计数器的时钟脉冲由秒脉冲电路提供。
2.电路原理图图3.2.2定时电路原理图3.子电路1)555构成的多谐振荡器作为秒脉冲发生器把555的2,6脚接在一起,根据对555组成原理图的分析可知,电容上的电压将在13C C V 到23C C V 之间变化。
电路的工作波形如下图所示图3.2.3.1.1 电容和输出电压的波形图 图3.2.3.1.2 555构成的多谐振荡器原理图从图中看出,输出电压V o 的低电平时间为电容上电压Vc 从23C C V 减少13C C V 到所需要的时间,V o 的高电平时间Vc 为电容上电压13C C V 从增大23C C V 到所需要的时间,电路的振荡周期和频率计算如下2ln 21C R T =(3.2.3.1.1)2ln )(212C R R T +=(3.2.3.1.2)2ln )2(2121C R R T T T +=+= (3.2.3.1.3)CR R C R R f )2(43.12ln )2(121210+≈+=(3.2.3.1.4)取1R =15K Ω,2R =68K Ω, C=10uF, 可得振荡频率为=+≈+=CR R C R R f )2(43.12ln )2(121210Hz110)68215(43.1=⨯⨯+所以, T=1s2) 由Multisim 仿真得到如下波形,符合秒脉冲的要求。
图3.1.3.2.1多谐振荡器频率仿真波形构成的三十进制递减计数器是十进制可编程同步加/减计数器,采用8421+5V+5VP 015P 11P 210P 39CU5CD 4Q A 3Q B 2Q C 6Q D7T C U12TCD 13PL11M R14P 015P 11P 210P 39CU5CD4Q A 3Q B 2Q C 6Q D7T C U 12TCD 13PL11M R14+5V74LS19274LS192CPSBO2图3.2.3.2.1 74LS192构成的三十进制递减计数器时序图(三)、 报警电路由555组成多谐振荡器,产生约为1Khz 的脉冲,来驱动扬声器发生声音。
(本设计方案用发光二极管代替扬声器)1. 主要功能当用信号来时,PR 为高电平,振荡器工作。
平时保持低电平不变,从上面对555组成多谐振荡器的分析,当4脚为低电平时,振荡器停振。
振荡频率为=+≈+=CR R C R R f )2(43.12ln )2(121210Hz1001.0)68215(43.1=⨯⨯+ (3.3.1.1)T=1f =1/0.01s (3.3.1.2)2. 电路原理图用Multisim 仿真可得如下波形,可知电路的频率跟理论计算值相符。
图3.3.2.2 频率仿真波形(四)、控制电路1.主要功能1) 主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。
2) 当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。
3) 当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。
2.子电路1) 时序控制电路根据上面的功能要求设计的时序控制电路如下图所示。
图中,门G1的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输入使能端ST。