汽车尾灯_数电自主实验报告
数电课程设计汽车尾灯控制系统

课程设计报告设计题目:汽车尾灯控制系统班级:计算机1206班学号: 2012XXX姓名: XXX指导教师:马学文设计时间: 2014年8月摘要在现代飞速发展的现代化社会背景下,汽车这一高科技产物越来越多地被人们使用,但也由此造成了一系列的问题,比如,由于汽车的突然转向所引发的车祸常出现。
如果汽车转弯时能够通过尾灯状态的变化来提示司机,行人汽车转弯,就可减少车祸发生。
因此,汽车尾灯就起到了一种信号、警示、标志的作用,也是司机在行车途中必须注意的。
本次实验报告是关于取车尾灯控制系统的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。
整个电路有三进制计数器、译码与显示驱动电路、尾灯状态显示电路、开关控制电路4个部分组成。
分析使能控制信号与公模控制变量与时钟脉冲的关系,555定时器、3线—8线译码器实现了根据汽车运行状态指示显示4种不同的状态模式。
本次报告详细讲解了该系统的设计思路及其具体的实现过程。
关键词: 计数器、译码器、定时器、时钟脉冲目录摘要 2 第1章概述4第2章课程设计任务及要求42.1 设计任务 4 2.2 设计要求 4 第3章系统设计43.1方案论证 4 3.2 系统设计 5 3.2.1 结构框图及说明 5 3.2.2 系统原理图及工作原理 5 3.3 单元电路设计 6 3.3.1单元电路工作原理 6 3.3.2元件参数选择 10 第4章软件仿真114.1 仿真电路图 11 4.2 仿真过程 13 4.2 仿真结果 15 第5章安装调试175.2 安装调试过程 175.3 故障分析 17 第6章结论18第7章使用仪器设备清单19参考文献19收获、体会和建议20第1章概述汽车尾灯控制系统的电路是十分常用的工作电路,在我们日常的生活中有着很广泛的应用。
汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车四种情况,针对这四种情况可以设计出汽车尾灯的控制电路来表示这四种状态。
设计一个汽车尾灯控制系统,技术指标如下:假设汽车尾部左右两侧各有3个指示灯(用6个小灯泡模拟);汽车正常运行时指示灯全灭;汽车左转弯时,左侧3个指示灯按左循环顺序点亮;汽车右转弯时,右侧3个指示灯按右循环顺序点亮;临时刹车时所有指示灯同时闪烁。
数电课程设计汽车尾灯控制电路

数电课程设计汽车尾灯控制电路汽车尾灯控制电路的设计⼀、设计基本要求:假设汽车尾部左右两侧各有3个指⽰灯(⽤发光⼆极管模拟)1.汽车整车运⾏时指⽰灯全灭;2.右转弯时,右侧3个指⽰灯按右循环顺序点亮;3.左转弯时左侧3个指⽰灯按左循环顺序点亮;4.临时刹车时所有指⽰灯同时闪烁⼆、设计⽅案:1.汽车尾灯显⽰状态与汽车运⾏状态的关系为了区分汽车尾灯的4种不同的显⽰模式,需设置2个状态控制变量。
假定⽤开关J1和J2进⾏显⽰模式控制,可列出汽车尾灯显⽰状态与汽车运⾏状态的关系,如下表所⽰。
2.在汽车⾏驶过程中,汽车的尾灯会根据汽车⾏驶的状态相应的发⽣状态的变化。
假设汽车尾灯左右两侧各有三个指⽰灯,设计⼀个⽤于控制汽车尾灯的电路。
⽅案原理框图如下图所⽰开关控制电路显⽰、驱动电路译码电路计数器{尾灯电路汽车尾灯控制电路原理框图本设计采⽤的⽅案主要由开关控制电路,三进制计数器,译码、显⽰驱动电路组成。
由于汽车左转或右转时,三个指⽰灯循环点亮,所以⽤三进制计数器控制译码器电路顺序输出低电平,从⽽控制尾灯按要求点亮。
三、电路设计步骤:1.时钟脉冲电路由于N555定时器内部的⽐较器灵敏度⽐较⾼,输出驱动电流⽐较⼤,功能灵活,⽽且采⽤差分电路形式,它的振荡率受电源电压和温度的影响⼩,由555定时器构成的多谐振荡器频率⽐较稳定,不易⼲扰;且此电路对秒脉冲的精度要求不是很⾼,所以选⽤有555构成的多谐振荡器做为脉冲电路。
时钟脉冲电路如下图1所⽰:1.时钟脉冲电路555定时器引脚图2. 三进制计数器汽车左或右转弯时由于是三个指⽰灯循环点亮,所以⽤三进制计数器控制译码电路顺序输出低电平,从⽽控制尾灯按要求电路,由此得出在每种运⾏状态下,各指⽰灯与各给定条件的关系,即逻辑功能表如下表所⽰:(0表⽰灯灭,1表⽰灯亮)三进制计数器功能表此计数器由74LS163芯⽚主要构成。
下⾯分别是它的真值表和引脚图:74LS163真值表74LS163引脚图三进制计数器电路图如下图2所⽰:2.三进制计数器电路图3、开关控制电路开关控制电路通过控制开关J1和J2的开通于关断,实现汽车正常⾏驶、左转弯、右转弯和刹车四种状态。
数字电路课程设计汽车尾灯控制电路报告.

沈阳航空航天大学课程设计(说明书)汽车尾灯控制电路设计班级/ 学号04070202-2072学生姓名张小田指导教师赵敏沈阳航空航天大学课程设计任务书课程名称电子技术综合课程设计院(系)专业班级202 学号姓名课程设计题目汽车尾灯控制电路设计课程设计时间: 2 年12月24 日至 2 年1 月6 日课程设计的内容及要求:一、设计说明在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
其原理参考框图如图1所示。
开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1 汽车尾灯控制电路原理框图二、技术指标1.汽车正常运行时指示灯全灭;2.汽车右转弯时,右侧三个指示灯按右循环顺序点亮;3.汽车左转弯时,左侧三个指示灯按左循环顺序点亮;4.汽车临时刹车时所有指示灯同时闪烁。
三、设计要求1.汽车尾灯控制电路的直流稳压电源要求自行设计。
2.在选择器件时,应考虑成本,要求指示灯用发光二极管模拟。
3.根据技术指标,通过分析计算确定电路和元器件参数。
4.画出电路原理图(元器件标准化,电路图规范化)。
四、实验要求1.根据技术指标制定实验方案;验证所设计的电路。
2.进行实验数据处理和分析。
五、推荐参考资料1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告指导教师年月日负责教师年月日学生签字年月日成绩评定表评语、建议或需要说明的问题:成绩指导教师签字:日期:汽车尾灯控制电路设计一. 概述1.首先由开关控制电路,进而控制汽车尾灯闪亮,开关电路包括:非门,异或门,与非门,电阻,直流稳压电源。
分别控制输出的高低电平,以便达到控制各芯片工作的目的。
数电课程设计报告-汽车尾灯控制设计

数电课程设计报告-汽车尾灯控制设计————————————————————————————————作者:————————————————————————————————日期:烟台南山学院数电课程设计题目汽车尾灯控制设计姓名:所在学院:自动化工程学院所学专业:班级:学号:指导教师:田老师完成时间: 2010—12-16内容提要课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。
另一方面爷可以使我们更好地巩固和加深对基础知识的理解,学会设计中小型系统的方法,独立完成调试过程,增强我们理论联系实际的能力,提高电路分析和设计能力。
通过实践应道我们在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。
通过设计,一方面可以加深我们的理论知识,另一方面也可以提高我们考虑问题的全面性,将理论知识上升到一个时间的阶段.设计是工科学生面对的重要课题,经历这个过程才能真切感受到工科的魅力,拉近与生产的距离。
本课程设计是设计一个汽车尾灯的控制电路,实现在不同操作下左右各3个尾灯的不同显示方式:1.在行驶时右转则右边3个灯以:3灯全灭—〉L4亮->L4、L5亮—〉L4、l5、L6亮-〉3灯全灭循环且L1、L2、L3灯一直全灭2.当左转时则左边3个灯以:3灯全灭—>L3亮—〉L2、L3亮->L1、L2、L3亮->3灯全灭循环且L4、L5、L6灯全灭3.当左右2个开关都被借接通时,说明驾驶员是一个外行,紧急闪烁器起作用,6个尾灯大约以1HZ的频率一致地善守着亮与暗的循环4.当驾驶员紧急刹车时,左右两开关均接通六个灯会同时亮这要求我们要正确使用各芯片来实现设计要求,理解实验中用到的各个芯片的引脚和接线及其所能实现的逻辑功能。
在所列出的各项方案中选出最简洁的进行设计。
实验二 模拟汽车尾灯实验仿真

实验二模拟汽车尾灯实验仿真
一、实验目的
1、灵活运用数字逻辑电路的理论解决问题。
2、提高学习兴趣。
二、实验设备及器件
1、SAC-DS1数字逻辑电路实验箱1个
2、万用表1块
3、器件自选
三、设计要求
设汽车左右各三个尾灯,利用两个开关模拟汽车左右拐弯,当两个开关为11时,汽车后面6个尾灯亮;当两开关为01时,汽车左拐,左边三个尾灯依次从右往左循环亮;而当两开关为10时,表示汽车右拐,则右边三个尾灯依次从左往右循环亮(开关为00状态未用) 。
表2.1为其运行状态关系表。
原理线路如图2-1所示。
表2.1 尾灯和汽车运行状态关系表
图2-1模拟汽车尾灯电路系统原理图
1、模拟汽车尾灯电路系统原理图。
2、选器件,画实验连线图(学生来完成)。
3、组装调试(学生来完成)。
四、实验报告要求
1、根据给出的设计要求设计系统原理图,列出元件清单。
2、列出实验步骤。
3、先用EWB做仿真实验(参考图2-2),后用实物独立组装、调试汽车尾灯电路,调试过程中遇到的问题,找出原因及解决方法。
4、总结本次实验的收获和体会。
5、交出完整实验报告。
图2-2模拟汽车尾灯仿真实验参考图
图2-3汽车尾灯控制电路参考图。
汽车尾灯控制电路实验报告

1.设计要求假设汽车尾部左右两侧各有3个指示灯,可用实验箱上的电平指示二极管模拟。
(1)汽车正常运行时,指示灯全灭;(2)右转弯时,右侧三个指示灯按右循环顺序点亮;(3)左转弯时左侧三个指示灯按左循环顺序点亮;(4)临时刹车时所有指示灯同时闪烁。
2.设计过程(1)列出尾灯与汽车运行状态表,如表1-1所示表1-1 尾灯与汽车运行状态表(2)设计总体框图由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各个指示灯与各给定条件(S1,S0,CP,Q1,Q0)的关系,即逻辑功能表如表1-2所示(表中0表示灯灭状态,1表示灯亮状态),由表1-2可得出总体框图,如图1-1所示。
图1-1 汽车尾灯控制电路总框图表1-2 控制电路的逻辑功能表(3)设计单元电路三进制计数器电路。
由双J-K触发器74LS76构成,可根据表1-2进行设计。
汽车尾灯控制电路。
其显示驱动电路由6个发光二极管和6个反相器构成。
译码电路由3-8译码器74LS138和6个与非门构成。
74LS138的三个输入端A2,A1,A0分别接S1,Q1,Q0,而Q1Q0是三进制计数器的输出端。
当S1=0时,使能信号A=G=1,计数器的状态位00,01,10时,74LS138对应的输出端Y0’,Y1’,Y2’依次为0有效(Y3’,Y4’,Y5’信号为1无效),即反相器GATE1-GATE3的输出端也依次为0,故指示灯D1-D2-D3按顺序点亮示意汽车右转弯。
若上述条件不变,而S1=1,则74LS138对应的输出端Y4’,Y5’,Y6’依次为0有效,即反相器GATE4-GATE6的输出端依次为0,故指示灯D4-D5-D6按顺序点亮,示意汽车左转弯。
当G=0,A=1时,74LS138的输出端全为1,GATE6-GATE1的输出端也全为1,指示灯全灭;当G=0.A=CP时,指示灯随CP的频率闪烁。
数字电子课程设计报告--汽车尾灯控制电路设计

汽车尾灯控制电路设计班级:学号:姓名:指导教师:一、总体设计要求及系统功能描述设计一个汽车尾灯控制的电路;1)用6个发光二极管模拟6个汽车尾灯(左、右各3个,高电平点亮),用2个开关作为左转弯、右转弯控制信号(高电平有效)。
2)当汽车往前行驶时,6个灯全灭;3)汽车左转时,左边三个灯顺序点亮,右边灯则全不亮;反之,右转时,情况相反;4)踩刹车时,汽车灯全亮;5)紧急情况时,左边灯和右边灯都亮,但是都是闪烁状态。
二、系统设计方案论述1)downto 0)y_out STD_LOGIC_VECTOR(2downto 0)右灯输出输出2) 顶层电路图3)设计源程序说明:library ieee;use ieee.std_logic_1164.all;entity weideng isport(zuo,you,clk,en:in std_logic;z_out:out std_logic_vector(2 downto 0);y_out:out std_logic_vector(2 downto 0));end weideng;architecture qiche of weideng issignal zo,yu,sha:std_logic;beginprocess(zuo,you)variable a:std_logic_vector(1 downto 0);------------定义刹车(sha)信号--------------begina:=zuo&you;case a iswhen "00"=>zo<='0';yu<='0';sha<='0';------------若左右信号均为0,则不是刹车信号when "10"=>zo<='1';yu<='0';sha<='0';------------若左为1右为0,也不是刹车信号when "01"=>yu<='1';zo<='0';sha<='0';----------若右为1左为0,不是刹车信号when others=>yu<='1';zo<='1';sha<='1';---------只有左右都是1,才是刹车信号end case;end process;--------------------左边三个灯------------------process(clk,en,sha,zuo,)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";--------若输入刹车信号,三个灯全亮elsif clk'event and clk='1' thenif en='1' thenif tmp ="000" thentmp:="111";else tmp:="000";----------若输入紧急(en)信号,灯双闪end if;elsif zuo='0'and you ='0' thentmp:="000";------------若左右输入信号为0时,灯全不亮elsif zuo='1' thenif tmp="000" thentmp:="001";------------若输入左转信号,三个灯顺序点亮elsetmp:=tmp(1 downto 0)&'0';end if;end if;end if;z_out<=tmp;end process;-------------------------右边三个灯-------------------------- process(clk,en,sha,you)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";elsif clk'event and clk='1' thenif en='1' thenif tmp="000"thentmp:="111";else tmp:="000";end if;elsif zuo='0' and you='0' thentmp:="000";elsif you='1' thenif tmp="000" thentmp:="100";elsetmp:='0'&tmp(2 downto 1);end if;end if;end if;y_out<=tmp;end process;end qiche;4)仿真图说明在en(紧急情况)为0的前提下,当左右输入信号均为0时,左边三个灯和右边三个灯的输入信号为0,即左边灯和右边灯都不亮;在en为0的前提下,左灯输入信号和右灯输入信号都是1时,左灯和右灯全亮;在en为0的前提下,左灯输入信号为0,右灯输入信号为1时,右灯顺序点亮;在en为0的前提下,右灯输入信号为0,左灯输入信号为1时,左灯顺序点亮;当输入信号en为1时,输出全为双闪。
数电汽车尾灯控制电路课程设计精选全文

可编辑修改精选全文完整版任务书一、题目:汽车尾灯控制电路二、设计目的要求汽车行驶时有正常行驶、左转、右转、和刹车四种情况,设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟)。
(1)汽车正常行驶时只是灯全灭;(2)汽车右转弯时,右侧3个灯按右循环顺序点亮;(3)汽车左转弯时,左侧3个灯按左循环顺序点亮;(4)汽车临时刹车时所有指示灯同时闪烁。
三、主要内容及实现的功能电路有四种状态,即汽车正常行驶,向左转弯,向右转弯,临时刹车。
要实现所要求的四种状态,对于要实现的四种状态,电路设计主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三是汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
四、主要参考资料课程设计报告一、课题分析汽车尾灯控制电路,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三十汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
二、设计文档(1)汽车尾灯显示与汽车运行状态关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。
假定用开关k1和k0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。
表1. 汽车尾灯和汽车运行状态(2)汽车尾灯控制电路功能描述在汽车左右转弯行驶时由于3个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮三个指示灯。
可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量k1、k0,以及时钟脉冲cp之间关系的功能表如表2所示(表中0表示灭灯状态,1表示灯亮状态。
数字电子技术课程设计汽车尾灯控制电路

数字电子技术课程设计汽车尾灯控制电路随着社会的发展和科技的进步,数字电子技术已经成为现代社会发展的重要基础。
在车辆电子控制领域,数字电子技术也得到了广泛应用,如汽车尾灯控制电路。
本文将围绕数字电子技术课程设计汽车尾灯控制电路进行介绍和分析。
一、设计思路汽车尾灯是车辆常备顺从零配件,灯光作为协调交通规则和保障行车安全的重要部件,在我们的日常生活中扮演着非常重要的角色。
数字电子技术通过数字逻辑与模拟电子技术的基础集成,可以用于控制车辆配件的电路控制。
在本次课程设计中,主要是要探索如何用数字电子技术结合汽车尾灯控制电路的原理,来实现对汽车尾灯的控制。
所设计的汽车尾灯控制电路能够实现开、关、闪烁等常见功能,增强汽车在夜间行驶的安全性。
二、设计实现1、基础材料在开始设计汽车灯控制电路之前,我们需要准备一些基础的材料和工具。
这些材料包括:数字电路实验箱、Transistor、电阻、贴片电容、led 灯、按键开关、跳线等元件。
工具包括:万用表、烙铁、导线剪切器、镊子、吸锡器等。
2、电路原理本次课程设计基于NPN 型晶体管的单灯驱动电路。
NPN 型晶体管有一个基极、一个发射极和一个集电极,其还具有放大电流和开关控制的特性。
通过控制基极电流,实现开关引脚与驱动电源引脚的控制,从而可以实现对LED 灯的控制。
3、电路图设计汽车尾灯控制电路的电路图如下:其中,RTC 是无源谐振,目的是在led 灯熄灭的时候,正常开关的微动触点的弹跳时间,避免led 灯在微动过程中作出爆闪效果,影响谷车正常行驶。
按键开关通过触摸来控制灯的亮度,松开手即可保存亮度调整值。
4、电路操作按键开关按下可调节LED 灯的亮度,长按开关可关闭LED 灯,仿佛就像是一部智能的汽车电子控制系统,简洁易操作。
在使用过程中,需要特别留意安装电路的电瓶的电压大小,以免过大或过低导致短路,烧毁电路。
三、实验结果通过将设计好的电路拼装后,进行了实验测试。
实验的结果表明,设计的汽车尾灯控制电路可以实现开、关和闪烁等控制,且相互之间非常便捷。
汽车尾灯控制电路设计数字电路课程设计

汽车尾灯控制电路设计方案《数字电路课程设计》报告目录第一部分系统设计 (2)1.1 设计题目及要求 (2)1.2 总体设计方案 (2)第二部分单元电路设计 (2)2.1 时钟脉冲电路 (2)2.2 开关控制电路 (4)2.3 三进制计数器 (4)2.4 译码、显示驱动电路 (6)第三部分整机电路 (7)3.1 整机电路图 (7)3.2 元件清单 (7)第四部分性能测量 (8)4.1实验条件(仿真调试和试验箱) (8)4.2 电路调试 (8)第五部分课程设计总结 (10)第一部分系统设计1.1 设计题目及要求设计一个汽车尾灯控制电路,尾灯共有六个,左右各三个。
基本要求为:1、正常行驶和停车时指示灯全灭。
2、汽车左转弯和右转弯时以动态流水灯形式指示转弯和转弯方向。
3、汽车刹车时使所有尾灯闪烁,提醒后边的车辆防止追尾。
1.2 总体设计方案1.2.1 设计思路在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
1.2.2 设计方案方案原理框图如图2.1所示:开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1.2.2 汽车尾灯控制电路原理框图本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求发光。
第二部分单元电路设计2.1 时钟脉冲电路2.1.1 时钟脉冲电路图时钟脉冲原理图如图2.1.1所示:图2.1.1 脉冲电路2.1.2 时钟脉冲电路工作原理由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。
汽车尾灯控制电路设计报告_3

前言汽车现今已是非常普遍的交通工具, 作已大量进入人们的生活, 随着电子技术的发展, 对于汽车的控制电路, 也已从过去的全人工开关控制发展到了智能化控制。
在夜晚或因天气原因能见度不高的时候, 人们对汽车安全行驶要求很高. 汽车尾灯控制系统给大家带来了方便。
汽车尾灯控制器是随汽车智能化技术的发展而迅速发展起来的, 汽车尾灯一般是用基于微处器的硬件电路结构构成, 正因为硬件电路的局限性, 不能随意的更改电路的功能和性能, 且可靠性得不到保证, 因此对汽车尾灯控制系统的发展带来一定的局限性, 难以满足现代汽车的智能化发展, 而本课题可解决此问题。
本次的课题是基于单片机的汽车尾灯控制器, 该设计课题主要由AT89S52单片机为核心展开的汽车尾灯控制电路的设计方法, 用发光二极管模拟汽车尾灯, 按键开关作为转弯等控制信号。
通过设计汽车尾灯显示控制电路, 能很好的综合运用我们所学习到的单片机、C语言、模拟电路等知识, 熟悉电子电路设计的基本方法。
在实际应用中有很多种方法来实现汽车尾灯的控制, 但此次以单片机为核心的控制电路体现出电路简单、制作方便、容易操作、可反复擦写、性能可靠等特点。
目录前言 (1)2 系统组成及原理 (4)2.1系统组成 (4)2.2 设计原理分析 (4)3 单元电路设计 (6)3.1 秒脉冲电路的设计 (6)3.2 开关控制电路的设计 (7)3.3 三进制计数电路的设计 (8)3.4译码驱动电路的设计 (10)4 系统的调试与结果 (11)总结 (12)参考文献 (14)1 设计内容及要求本次设计的任务是设计、制作一个汽车尾灯显示的电路。
设汽车尾部左右两侧各有3个指示灯(用发光管模拟), 要求是:①以MCS-51系列单片机为核心, 设计一个汽车尾灯的控制电路。
汽车尾部左右两侧各有3个指示灯。
当接通相应的开关按键时, 指示灯按照指定要求闪烁。
②汽车尾灯由四个电键控制, 分别对应着左转、右转、刹车和检查功能。
汽车尾灯控制电路(课程设计报告)

摘要 (1)一、设计任务 (2)二、实验目的 (2)三、总体设计方案 (2)3.1 设计思路 (2)3.2 设计原理 (3)四、电路组成 (3)4.1 模式控制电路 (3)4.2 时钟信号源 (4)4.3 驱动电路与显示电路 (4)五、硬件电路安装、调试 (6)5.1 遇到的主要问题 (6)5.2 现象记录与原理分析 (6)5.3 解决措施及效果 (6)六、仿真结果 (6)七、实验总结与体会 (9)八、参考文献 (9)九、附录 (9)随着经济的发展,汽车越来越被人们所需要,而由此也引发了一系列问题。
比如,因为汽车突然转向所引发的车祸经常出现。
如果汽车转弯可以通过尾灯的状态变化来确定,就可以提示司机、行人朋友们车子正在转弯,一定程度的避免车祸的发生。
因此,本方案设计了一个“汽车尾灯控制电路”。
“汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、555定时器及电阻、电容进行搭建。
综合数字电路和模拟电路的知识,提升了我们处理实际问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。
【关键词】:汽车尾灯控制电路;74LS194;74LS00;555定时器。
一、设计任务设计一个汽车尾灯控制电路,要求汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮;在左转弯时,左侧3个指示灯按左循环顺序点亮;在临时刹车时,所有指示灯同时点亮。
二、实验目的1、锻炼学生综合运用电子技术基础知识以及动手能力;2、提高学生使用中规模集成芯片以及调试较大型电子系统的能力;3、使学生了解基本逻辑单元电路在实际生活中的应用,为今后进行复杂的综合型电子系统的设计和调试打下基础。
三、总体设计方案3.1 设计思路分析以上设计任务,由于汽车左转弯或右转弯时,3个指示灯循环点亮,所以用双向移位寄存器74LS194顺序输出高电平,从而控制尾灯按要求点亮。
汽车尾灯实训报告

摘要随着集成电路和计算机技术的飞速发展,EDA技术应运而生,它是一种高级、快速、有效的电子设计自动化技术。
EDA讲大量的电路功能集成到一个芯片中,并且由用户自行设计逻辑功能,提高了系统的集成度和可靠行。
运用EDA技术可以方便、快捷的设计电路系统。
本课程设计基于EDA系统,综合运用数字电路的知识,完成了汽车尾灯控制器电路的设计,它由始终分频模块、汽车尾灯主控模块、左灯控制模块和右灯控制模块四大部分组成。
采用VHDL硬件描述语言描述汽车尾灯电路,完成对电路的功能仿真。
在设计过程中,重点探讨了汽车尾灯电路的设计思路和功能模块划分,通过分析仿真波形表明设计的汽车尾灯电路完成了预期的功能。
通过multism完成对电路的仿真,然后倒入PCB中,利用protel99绘制出PCB板。
关键字:EDA 汽车尾灯控制 PCB第1章设计内容及要求1.1 设计内容设计一个汽车尾灯控制器,利用EDA软件进行编译和仿真,然后将其倒入PCB中利用protel99画出PCB板。
1.2 设计要求假设汽车尾部左右两侧各有3个指示灯(用发光二级管模拟)有四种显示模式如下:●汽车正常运行时指示灯全亮;●左转弯时,左侧3个指示灯按左循环顺序点亮,每灯只隔0.5秒;●右转弯时,右侧3个指示灯按右循环顺序点亮,每灯只隔0.5秒;●临时刹车时左右两侧所有指示灯同时闪烁。
1.3 详细设计系统的输入信号包括:系统时钟信号CLK。
系统的输出信号包括:汽车左侧3盏指示灯LLED1,LLED2, LLED3和汽车右侧3盏指示灯RLED1 ,RLED2,RLED3。
当汽车正常行驶时所有的指示灯都不亮,当汽车左转时,汽车左边的指示灯循环点亮;当汽车右转弯时,汽车右边的指示灯循环点亮;当汽车刹车或检测时所有的指示灯全亮。
第2章 设计思路方框图2.1总的结构框图:汽车尾灯控制电路原理框图 图2-12.2设计思路:在开关控制电路输出和三进制计数器状态的作用下,提供6个尾灯控制信号,当译码驱动电路输出的控制信号为低电平是相应指示灯点亮。
数电课程设计报告书-汽车尾灯(张昊)

光电子元器件认知与实践(三)数字系统与逻辑设计课程设计题目名称:汽车尾灯姓名:张昊学号:2011122447班级:光信111淮海工学院理学院光信息系目录第一章:系统概述。
(3)第二章:单元电路设计与分析。
(5)第三章:电路的安装与调试。
(12)第四章:结束语。
(13)附件:元器件清单。
(14)参考文献。
(14)第一章:系统概述a.课程设计目的及基本要求1、巩固和加强数字系统与逻辑设计课程的理论知识。
2、掌握电子电路的一般的设计方法,了解电子产品研制开发过程。
3、提高电子电路实验技能及仪器使用能力。
4、掌握电子电路安装和调试的方法及故障排除方法。
5、学会撰写课程设计总结报告。
6、通过查阅手册和文献资料,培养同学们独立分析问题和解决问题的能力。
7、培养创新能力和创新思维。
b.设计题目选择及题目要求选题:汽车尾灯设计要求:(1)、用6个发光二极管模拟汽车尾灯,即左尾灯(L1-L3)3个发光二极管;右尾灯(R1-R3)3个发光二极管。
(2)、用两个开关分别控制左转弯尾灯显示和右转弯尾灯显示。
打开时,左转弯尾灯显示的3个发光二极管按图4所(3)、当左转弯开关KL示规律亮灭显示。
同样,当右转弯开关KR被打开时,右转弯尾灯与左转弯灯相同规律亮灭显示,但方向相反。
图为:左转弯显示规律图c.设计思路(1) 汽车尾灯显示状态与汽车运行状态的关系首先要设置2个状态控制变量,来区分汽车尾灯的4种不同的显示模式。
下面用开关KL、KR进行显示模式控制,当开关KL.KR为00时,汽车正常行驶;为01表示右转弯;为10表示左转弯;11表示临时刹车。
分析汽车尾灯显示状态与汽车运行状态的关系详见下表3-1。
表3-1 汽车尾灯显示状态与汽车运行状态的关系(2) 汽车尾灯控制器功能描述当汽车左、右转弯行驶时,3个指示灯根据转弯方向循环顺序点亮,所以可以用一个三进制数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮左、右尾灯。
设三进制计数器的状态用Q 0、Q 1表示。
汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。
二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。
由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。
本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。
三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。
四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。
课程设计报告报告—-汽车尾灯

课程设计任务书学生:吴舟专业班级:电子科学与技术0801班指导教师:吴友宇工作单位:信息工程学院题目: 汽车尾灯控制器的电路设计初始条件:本设计既可以使用中、小规模集成电路芯片7400、7404、74138、7476、7486和其它器件实现对汽车尾灯显示的控制功能。
本设计也可以使用单片机系统构建简易频率计。
工作电源Vcc为12V。
电路组成框图如图1所示:图1汽车尾灯控制电路图要求完成的主要任务: 〔包括课程设计工作量及技术要求,以及说明书撰写等具体要求〕1、课程设计工作量:1周。
2、技术要求:设汽车尾部左右两侧各有3个指示灯〔用发光管模拟〕,要:①汽车正常行驶时,尾灯全部熄灭。
②当汽车右转弯时,右侧3个指示灯按右循顺序点亮。
③当汽车左转弯时,左侧3个指示灯按左循顺序点亮。
④临时刹车时,所有指示灯同时闪烁。
⑤选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述根本原理。
制作实际运行装置。
3、查阅至少5篇参考文献。
按?理工大学课程设计工作规?要求撰写设计报告书。
全文用A4纸打印,图纸应符合绘图规。
时间安排:1、2010年6月28日集中,作课设具体实施方案与课程设计报告格式的要求说明。
2、2010年6月28日,查阅相关资料,学习电路的工作原理。
2、2010年6月29日至2010年6月29日,方案选择和电路设计。
2、2010年6月30日至2010年7月1日,电路调试和设计说明书撰写。
3、2010年7月2日上交课程设计成果及报告,同时进展辩论。
课设答疑地点:鉴主13楼电子科学与技术实验室。
指导教师签名:年月日系主任〔或责任教师〕签名:年月日摘要在汽车驾驶中,为保证平安形式需要各种警示灯辅助驾驶,如:汽车在启动、转弯和刹车时,尾灯的亮灭时有一定规律的。
本文通过观察设计了汽车尾灯控制器,当汽车刹车时,尾灯不停地闪烁;当汽车左转弯时,左侧的尾灯按左方向循序亮;当汽车右转弯时,右侧的尾灯按有方向循序亮。
数电汽车尾灯控制设计报告

湖南第一师范学院课程设计报告题目:汽车尾灯控制电路设计起止日期:自2011年12月19日至2011年12月30日信息科学与工程系系(部)李思雨廖露学生姓名09电子科学与技术一班班级09403100134 09403100122学号成绩指导教师(签字)2011 年 12 月 30 日湖南第一师范学院课程设计任务书2011-2012学年第一学期系(部)信息科学与工程系专业电子科学与技术班级 09电子1班课程名称:数字电子技术设计题目:汽车尾灯控制电路设计完成期限:自2011年 12月19日至2011年12月30日共2周目的及任务目的:1、在对数电知识理解的基础上,进行更高层次的设计实验,在教师指导下独立查阅资料、设计、安装和调试特定功能的电子电路。
2、利用学过的知识,解决电子线路中常见实际问题,逐步积累掌握实际电子制作经验。
任务:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:1、汽车正常行驶时,尾灯全部熄灭。
2、当汽车右转弯时,右侧3个指示灯按右循顺序点亮。
3、当汽车左转弯时,左侧3个指示灯按左循顺序点亮。
4、临时刹车时,所有指示灯同时闪烁。
进度安排起止日期工作内容2011.12.19前选题,了解设计内容,复习数电相关知识和查阅资料。
2011.12.19-22 分析设计任务,选择总体方案,单元电路设计。
2011.12.22-27 设计总体电路,调试。
2011.12.27-30 继续完成设计,撰写实验报告,进行答辩。
主要参考资料[1] 康华光.电子技术基础(数字部分).武汉:高等教育出版社,2006.1.[2] 彭介华.电子技术课程设计指导.北京:高等教育出版社,1997[3] 秦曾煌编.电工学(第五版)学习指导北京:高等教育出版社,2001.1[4] 肖蕙蕙主编.数字电子技术基础重庆:重庆大学出版社,2002指导教师(签字):年月日教研室主任(签字):年月日目录1概述 (1)2方案论证及设计原理 (1)3电路单元模块设计 (3)4系统电路总图及原理 (7)5经验体会 (8)参考文献 (8)附录B元器件清单 (9)1 概述本文介绍了一种通过TTL 系列逻辑门级时序逻辑芯片设计模拟汽车尾灯工作情况电路的方法。
数电课程设计报告---汽车尾灯控制电路

扬州大学能源与动力工程学院本科生课程设计题目:汽车尾灯控制电路课程:数字电子技术基础专业:班级:学号:姓名:指导教师:完成日期:总目录第一部分:任务书第二部分:课程设计报告第一部分任务书《数字电子技术基础》课程设计任务书一、课程设计的目的本课程是在学完《数字电子技术基础》、《数字电子技术实验》之后,集中一周时间,进行的复杂程度较高、综合性较强的设计课题的实做训练。
主要包括:方案论证、系统电路分析、单元功能电路设计、元器件选择、安装调试、计算机辅助设计、系统综合调试与总结等。
使学生在《数字电子技术》基本知识、实践能力和综合素质、创新意识、水平诸方面得到全面提高,为后续课程的学习,为培养应用型工程技术人才打下重要基础。
通过本课程设计可培养和提高学生的科研素质、工程意识和创新精神。
真正实现了理论和实际动手能力相结合的教学改革要求。
二、课程设计的要求1、加强对电子技术电路的理解,学会查寻资料、方案比较,以及设计计算等环节,进一步提高分析解决实际问题的能力。
2、独立开展电路实验,锻炼分析、解决电子电路问题的实际本领,真正实现由知识向技能的转化。
3、独立书写课程设计报告,报告应能正确反映设计思路和原理,反映安装、调试中解决各种问题。
三、课程设计进度安排1、方案设计;(一天)根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。
2、电路设计:(一天)根据方案设计框图,并画出详细的逻辑图3、装配图设计:(半天)根据给定的元器件,结合逻辑图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。
同时配以必要的文字说明。
4、电路制作:(一天半)对选定的设计,按装配图进行装配,调试实验。
5、总结鉴定:(半天)考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报告。
汽车尾灯实验报告

数字逻辑与数字系统课程设计课程设计作为实践教学的一个重要环节,对提高创新精神和实践能力、发展个性具在重要作用。
除了必要的验证性实践以训练实验能力和实验结果整理的能力外,安排综合性课程设计对于提高学生全面应用本课程知识进行分析问题和解决问题的能力具有重要意义。
本章给出了一些经典的数字逻辑与数字系统课程设计题目,部分题目给出了功能框图及简要分析。
3.1课程设计教学大纲课程设计作为数字逻辑与数字系统课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以分析、解决问题的能力。
3.1.1课程设计目的和意义按照本学科教学培养计划要求,在学完专业基础课数字逻辑与数字系统课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计中小型数字系统的方法,独立完成调试过程,增强学生理论联系实际的能力,提高学生电路分析和设计能力。
通过实践教学引导学生在指导下有所创新,为专业课的学习和日后工程实践奠定基础。
3.1.2课程设计基本要求1.教学基本要求要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的仿真、装配及调试,掌握数字系统的仿真与调试技术;在课程设计中要注重培养工程质量意识,并写出课程设计报告。
教师应事先准备好课程任务书、指导学生查阅有关资料,安排适当的时间进行答疑,帮助学生解决课程设计过程中的问题。
2.能力培养要求1)通过查阅手册和有关文献资料,培养学生独立分析和解决实际问题的能力。
2)通过实际电路方案的分析比较、设计计算、元件选取、仿真、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。
3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。
4)综合应用课程中学到的理论知识去独立完成一个设计任务。
5)培养严肃认真的工作作风和严谨的科学态度。
3课程设计报告要求课程设计报告要给出结构框图,对总体设计思想进行阐述,并给出每个单元逻辑电路且论述其工作原理,文字说明部分要求内容完整,言简意赅,书写工整。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数电自主实验报告
实验名称汽车尾灯控制电路设计学号:姓名:班
(教师签名):
日期
一、实验任务
1、设计汽车尾灯控制电路;
2、进行各模块仿真实验,分析电路性能;
3、了解移位寄存器、计数器等的工作原理;
4、掌握74LS161、74LS194等芯片的使用、熟练multisim的使用。
二、实验设备
三、实验原理
(1)实验原理图
汽车尾灯控制电路原理图如图1所示。
图1中按下左上角A\B\C\D四个开关分别控制刹车Ss\停车St\左转弯SL\右转弯SR,对应着右边X4~X6、X7、X1~X3七个灯的状态。
左下角的74LS161为四进制计数器,产生(0000,0001,0010,0011)四个拍;74LS194当S0S1=10时控制左灯X1~X3右移、S0S1=01时控制右灯X4~X6左移。
发现:开关模块比教材中复杂才能真正实现功能:刹车时中间灯不亮两边亮(且不管左右转弯状态)、紧急状态时所有灯全闪烁。
(2)74LS161分析
结果:74LS161确实四进制计数器,产生Q0Q1=00、10、01、11的循环,Q2控制每四个节拍产生一个脉冲,经反相器使复位端CLR清零一次。
发现:multisim中74LS161为下降沿触发,与教材不同,故需接反相器。
(3)整个系统仿真分析:
左转弯时检测如下。
分析:74LS161\74LS194的输出如图,确实能带动左灯X1~X3右移闪烁。
发现:194Q3瞬间脉冲电压也能点亮灯泡。
右转弯时检测结果如下。
分析:74LS161\74LS194的输出如图,确实能带动右灯X4~X6左移闪烁。
四、实验内容与步骤
1、左转弯:按下C键,左灯X1~X3右移闪烁。
2、右转弯:按下D键,右灯X4~X6左移闪烁。
3、紧急转态:同时按下C和D键,所有灯按一定频率闪烁。
发现:左右灯和中间的灯闪烁频率是不一样的。
中间灯闪烁的更快。
4、刹车:按下B键,左右灯按一定频率闪烁,中间灯灭。
注:按下B键,同时CD建无论怎么按,左右灯按一定频率闪烁,中间灯灭。
如图同时接通CD建:
5、停车:按下A键,所有灯全灭。
注:只要按下A键,同时B、C、D建无论怎么按,所有灯全灭。
发现:在实验室做实验时,所用时钟频率太快会导致灯闪烁人分辨不出来,所以我实际用的是示波器发出端给予的9.9Hz、5V的方波信号(注意加偏移)。
五、实验中出现的问题及解决对策
1. 74LS20四端口与门针脚不明时,费了很久才自己测出来各管脚的含义。
解决技巧:针脚悬空时用万用表测量各针脚电压,它们是有区别的,如1、2、4、5脚电压一致,约1.2V,而3脚仅有几十毫伏、6脚仅有200毫伏。
注:NC为空管脚,为了保证标准化封装,保持每个边的管脚数一致,空引出来的引脚,没有实际的用处。
2、左、右转弯时灯一直亮着,并不闪烁。
解决技巧:这是由于时钟频率过高的问题,肉眼分辨不出来。
可以采用示波器的输出端口自己输出一个如9.9Hz、5V的信号。
3、教材中的电路有误,无法控制灯X7的功能
解决技巧:自己设计控制电路,加进的与非门控制,达到要求功能。
建议:建议学校纠正教材中的错误。
4、芯片太多,如何加快连线速度,减少连线错误
1.接线前先插好所有芯片,用一张白纸记好各芯片位置并编号;
2.接线时对着实验电路图纸,每接一根线,用铅笔在图上这根线的两个接口画一个勾。
这样不会出错且易检查;
3.每个芯片在接线时都把接线柱的柄往本芯片中央方向靠,避免占用相邻芯片的空
间,这样就不会出现到后面线都插不下去的情况。
六.本次实验的收获和体会、对电路实验室的意见或建议
收获:
1.设计电路时就要注意模块化,这样便于仿真时单独观测,也便于实验时分模块连接电路、检查电路(查好一个再接下一个),从而减少实验中的错误。
2.各模块要单独仿真,对结果进行理论解释,这样才能对全盘有深刻的理解。
3.平时学习时若有没学明白、没学透彻的地方,用multisim可使学习事半功倍。
建议:建议学校纠正教材中的错误。
七.参考文献
《电子技术基础实验教程》《数字电子技术基础》。