单个数码管静态显示教案
实例(4)-数码管静态显示
2.3、硬件原理图
图4
2
嵌入式学习——51 单片机篇 开发板上有四位数码管。 每一位数码管的公共端分别连接到一个 NPN 三极管的集电极。 通过单片机的 IO 口控制三极管的基极来选通数码管。高电平选通。而四位数码管的 8 个段 是连在一起的,都连接到 74HC595 的输出端 QA-QH。在使用前还应注意一点,就是要把 P7 总的选通跳线接上。三极管和 74HC595 是由单片机的 P0 口来控制。
3
嵌入式学习——51 单片机篇 unsigned char i,temp; for(i=0;i<=7;i++) { temp = byte&1<<i; if(temp) { HC595_DAT = 1; //数据线 } else { HC595_DAT=0; } //下面是写时序 HC595_SCK=1; //SCK(11 脚) delay_us(1); HC595_SCK=0; delay_us(1); } HC595_RCK=0; delay_us(1); HC595_RCK=1; } //显示一个数 num void SMG_ShowNum(unsigned char num) { HC595_send_byte(seg[num]); } //主函数 void main() { unsigned char num; P0=0X0F; //初始化低四位为高电平,使能四位数码管 HC595_RST=0; //HC595 的复位端,不能让他复位 while(1) { for(num=0;num<10;num++) { SMG_ShowNum(num); delay_ms(1000); } } }
三、程序设计
在本实验中,要驱动数码管,首先应该能够操作控制 74HC595。因此我们首先编写一 个 HC595_send_byte()的函数,它实现的功能是向 74HC595 发送一个字节。然后再在主函数 中调用这个函数来驱动数码管。在程序的开始入,P0=0X0F;这一句是初始化 P0 低四位为 高电平,使能四位数码管。因为控制选通数码管的四个三极管就是连接到 P0 的低四位。 实验代码如下: #include "STC12C5A.h" //包含头文件 /***数字编码表 0~9***/ unsigned char seg[10] = {0xfc,0x60,0xda,0xf2,0x66,0xb6,0xbe,0xe0,0xfe,0xf6}; sbit HC595_SCK = P0^4; sbit HC595_RCK = P0^5; sbit HC595_RST = P0^6; sbit HC595_DAT = P0^7; //us 延时 void delay_us(unsigned int t) { while(t--); } //延时函数(24M 晶振下延时 1ms) void delay_ms(unsigned int time) { unsigned int t; for(;time>0;time--) { t = 1500; while(t--); } } //向 HC595 发送一个字节 void HC595_send_byte(unsigned char byte) {
单只数码管显示原理课程教学教案
unsigned char code table[]={ //共阳极0~f数码管编码
0xc0,0xf9Байду номын сангаас0xa4,0xb0,//0~3
0x99,0x92,0x82,0xf8,//4~7
0x80,0x90,0x88,0x83,//8~b
0xc6,0xa1,0x86,0x8e //c~f
1)使数码管的公共端接地(共阴极)上。
2)将显示码送到单片机的P0口,向数码管的各个段输出不同的电平,使单个数码管循环显示0-9这10个数字。
复位电路:
在上电或复位过程中,控制CPU的复位状态:这段时间内让CPU保持复位状态,而不是一上电或刚复位完毕就工作,防止CPU发出错误的指令、执行错误操作,也可以提高电磁兼容性能。
课堂教学教案
授课章节名称
10单只数码管显示原理
课型
理论
授课日期
年月日第周
课时数
2
教学目标
知识与技能:
1、了解数码管的组成结构
2、能够正确绘制数码管的驱动电路及编写程序
过程与方法:
1、掌握软件和硬件结合编程的方法
情感态度价值观:
1、培养对专业课程的兴趣
教学重点
共阴极数码管的驱动电路
教学难点
共阴极数码管的驱动电路
其中引脚图的两个COM端连在一起,是公共端,共阴数码管要将其接地,共阳数码管将其接正5伏电源。一个八段数码管称为一位,多个数码管并列在一起可构成多位数码管,它们的段选线(即a,b,c,d,e,f,g,dp)连在一起,而各自的公共端称为位选线。显示时,都从段选线送入字符编码,而选中哪个位选线,那个数码管便会被点亮。数码管的8段,对应一个字节的8位,a对应最低位,dp对应最高位。所以如果想让数码管显示数字0,那么共阴数码管的字符编码为00111111,即0x3f;共阳数码管的字符编码为,即0xc0。可以看出两个编码的各位正好相反。
数码管静态显示
数码管静态显示本讲任务:介绍用数码管进行数字和字母的显示。
数码管显示:单片机系统中常用的显示器有:1:发光二极管显示器(数码管);2:液晶LCD 显示器;3:CRT 显示器等。
LED 、LCD 显示器有两种显示结构:1:段显示(7段、米字型等);2:点阵显示(5×8、8×8点阵等)。
数码管可显示内容和特点:可显示内容: 数字、小数点和部分英文字符、符号。
特点:1、自发光、亮度高,特别适合环境亮度低的场合使用。
2、牢固,不怕冲击。
数码管的结构:数码管内部由七个条形发光二极管和一个小圆点发光二极管组成,根据各管的亮暗组合成字符。
常见数码管有10根管脚。
其中COM为公共端,根据内部发光二极管的接线形式可分为共阴极和共阳极两种。
使用时,共阴极数码管公共端接地,共阳极数码管公共端接电源。
每段发光二极管需5~10mA的驱动电流才能正常发光,一般需加限流电阻控制电流大小。
数码管显示原理:LED数码管的 a~g 七个发光二极管。
加正电压的发光,加零电压的不能发光,不同亮暗的组合就能形成不同的字型,这种组合称为字型码。
共阳极和共阴极的字型码是不同的。
共阴数码管字形码表:0x3f ,0x06 ,0x5b ,0x4f ,0x66 ,0x6d ,0x7d ,0x07 ,0x7f ,0x6f , 0 1 2 3 4 5 6 7 8 90x77 ,0x7c ,0x39 ,0x5e ,0x79 ,0x71 ,0x00A B C D E F 不显示静态显示驱动:静态驱动也称直流驱动。
静态驱动是指每个数码管的每一个段码都由一个单片机的I/O端口进行驱动。
静态驱动的优点是编程简单,显示亮度高,缺点是占用I/O端口多,如驱动5个数码管静态显示则需要5×8=40根I/O端口来驱动(要知道一个89S51单片机可用的I/O端口才32个),实际应用时必须增加译码驱动器进行驱动,增加了硬件电路的复杂性。
数码管动态驱动:动态驱动常用于多个数码管同时显示数字或字母,是将所有数码管的8个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制。
关于数码管的课程设计
关于数码管的课程设计一、课程目标知识目标:1. 学生能理解数码管的基本概念,掌握其工作原理和种类。
2. 学生能描述数码管的各个部分及其功能,如发光二极管、段选和位选等。
3. 学生能运用所学知识分析数码管电路图,并解释其工作过程。
技能目标:1. 学生能运用所学知识设计和搭建简单的数码管显示电路。
2. 学生能通过编程实现对数码管显示内容的控制,如显示数字和简单字符。
3. 学生能运用实验仪器和工具进行数码管的检测和故障排查。
情感态度价值观目标:1. 学生培养对电子技术的兴趣和好奇心,激发创新意识和探索精神。
2. 学生养成合作学习、共同探究的良好习惯,培养团队协作能力。
3. 学生认识到数码管在日常生活和科技领域中的应用,增强学以致用的意识。
课程性质:本课程为电子技术基础课程,结合理论知识与实践操作,培养学生的电子技术素养。
学生特点:六年级学生具有一定的电子元件知识和动手能力,对新鲜事物充满好奇,喜欢动手操作。
教学要求:结合学生特点,注重理论联系实际,提高学生的动手操作能力和问题解决能力,注重培养学生的团队合作精神和创新能力。
通过本课程的学习,使学生能够达到上述课程目标,并为后续相关课程打下基础。
二、教学内容1. 数码管基础知识:介绍数码管的概念、分类(七段数码管、点阵数码管等)、工作原理及应用场景。
- 教材章节:第二章第二节“显示器件”2. 数码管的电路组成:讲解数码管的电路结构,包括发光二极管、限流电阻、段选和位选等。
- 教材章节:第二章第二节“显示器件的电路组成”3. 数码管显示控制原理:阐述数码管显示数字和字符的原理,包括静态显示和动态显示。
- 教材章节:第二章第三节“显示器件的控制原理”4. 数码管编程与控制:介绍如何通过编程实现对数码管显示内容的控制,例如使用Arduino等开源平台。
- 教材章节:第三章第一节“数字显示的控制方法”5. 实践操作:设计和搭建简单的数码管显示电路,进行编程控制,实现数字和简单字符的显示。
《体验式教学模式的应用――单只数码管显示》说课案例-最新资料
《体验式教学模式的应用――单只数码管显示》说课案例本次说课的题目为《单只数码管显示》,我将从教学基本情况的分析、教学策略的设计、教学过程等几个方面来体现授课者采用体验式教学模式组织教学的教学思想。
一、教学基本情况的分析1.教材分析。
《单片机应用技术》是中职电子专业必修的专业平台课程,通过本课程的学习,学生具备简单系统的设计、开发能力,为学生胜任电子企业中的调试、检测、维修等职业岗位工作奠定基础。
我选用的教材是张平、李烨老师主编的《单片机应用技术》,教材以项目为载体,以学生为主体,在项目的层层推进中完成知识与技能的学习。
“单只数码管显示”是该课程的重要内容,具有承前启后的关键作用。
在此部分主要从课程着手分析课程在专业培养目标中的地位,以及课题在该课程的作用。
2.学情分析。
我的授课对象是中职电子专业二年级学生。
他们的思维习惯适合“做中学”;适合在企业工作情景中完成学习;他们的基础及能力适合以小组为单位,合作探究学习。
通过前面的专业学习他们已经具备最基本的读图识图能力,组装电路的基本能力,熟练使用办公自动化软件;熟悉单片机开发的流程,掌握C语言基础知识。
但他们刚接触单片机,在编程,组装,调试等一系列过程中难免会出现错误,会存在“遇难则退”的现象,这对项目的完成形成一定障碍。
该部分主要分析了学生的学习基础,学习能力及教学目标形成的困难,既分析优点有分析不足,对教学目标达成的可能性作了充分分析。
3.教材处理。
结合课程标准及本地企业的岗位需求,为满足学生学习需要,对教材作如下处理:(1)引入生产实例“公交车站牌”,把评分表换成质检单更符合企业生产实际。
(2)自制虚拟仿真软件――“数码管显示”.(3)设计和书本相配套的开发板,满足学生课外自我学习。
引入校企合作的思想,借助信息化的教学手段,使教学内容更贴合学生实际,减轻学生学习的难度,激发学生的学习兴趣。
4.教学目标的确定。
针对以上情况,确定教学三维目标,学生在任务的驱动和教师的点拨下,完成相关知识的理解,从中体会团队合作和职业素养的重要性。
静态数码管显示(可编程)
电子信息与自动化学院《可编程逻辑器件》实验报告学号:姓名:实验名称:数码管静态显示一、实验原理七段数码管是电子开发过程中常用的输出显示设备。
在实验系统中使用的是四个共阳极型七段数码管。
由于七段数码管公共端连接到VCC(共阳极型),当送入一次字形码后,显示字形可一直保持,直到送入新字形码为止。
图1数码管原理图图2程序设计大致流程图二、实验步骤1、打开QuartusII软件,新建一个工程然后创建一个Verilog File,打开VHDL编辑对话框进行编程;2、主要程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity JINGTAI isport (clk:in std_logic;x: out std_logic_vector(6 downto 0);y: out std_logic_vector(3 downto 0));end JINGTAI;architecture behave of JINGTAI issignal number :integer range 50000000 downto 0;signal count: std_logic_vector (1 downto 0);signal key: std_logic_vector (3 downto 0);beginy<="0000";PROCESS(clk)begin if clk'event and clk='1' then if number<50000000 thennumber <= number+1;else number <= 0;key <= key +1;end if;end if;end process;process(key)begincase key iswhen "0000" => x <= "1000000" ;when "0001" => x <= "1111001" ;when "0010" => x <= "0100100" ;when "0011" => x <= "0110000" ;when "0100" => x <= "0011001" ;when "0101" => x <= "0010010" ;when "0110" => x <= "0000010" ;when "0111" => x <= "1111000" ;when others => null;end case;end process;end behave;3、引脚锁定图3 引脚锁定三、实验结果仿真图如下:图4时序仿真图由以上可知,实验结果符合要求,则设计成功。
实验四 数码管静态显示
实验四数码管静态显示一、实验目的1.熟练掌握单片机定时器的原理和应用方法。
2.了解数码管的原理,掌握数码管的真值表的计算方法。
二、实验内容通过对单片机编程来实现数码管静态显示。
三、实验知识点3.1定时器的初步认识时钟周期:时钟周期T是时序中最小的时间单位具体计算的方法就是1/时钟源,我们KST-51单片机开发板上用的晶振是11.0592M,那么对于我们这个单片机系统来说,时钟周期=1/11059200秒。
机器周期:我们的单片机完成一个操作的最短时间。
机器周期主要针对汇编语言而言,在汇编语言下程序的每一条语句执行所使用的时间都是机器周期的整数倍,而且语句占用的时间是可以计算出来的,而C语言一条语句的时间是不可计算的。
51单片机系列,在其标准架构下一个机器周期是12个时钟周期,也就是12/11059200秒。
定时器和计数器。
定时器和计数器是单片机内部的同一个模块,通过配置SFR(特殊功能寄存器)可以实现两种不同的功能。
顾名思义,定时器就是用来进行定时的。
定时器内部有一个寄存器,我们让它开始计数后,这个寄存器的值每经过一个机器周期就会加1一次,因此,我们可以把机器周期理解为定时器的计数周期。
我们的秒表,每经过一秒,数字加1,而这个定时器就是每过一个机器周期的时间,也就是12/11059200秒,数字加1。
3.2 定时器的寄存器描述标准的51里边只有定时器0和定时器1这两个定时器,现在很多单片机也有多个定时器的,在这里我们先讲定时器0和1。
那么我前边提到过,对于单片机的每一个功能模块,都是由他的SFR,也就是特殊功能寄存器来控制。
而和定时器有关的特殊功能寄存器,有TCON和TMOD,定时值存储寄存器。
a)定时值存储寄存器表4-1中的寄存器,是存储计数器的计数值的,TH0/TL0用于T0, TH1/TL1用于T1。
表4-1 定时值存储寄存器表4-2 TCON--定时器/计数器控制寄存器的位分配(地址:88H)表4-3 TCON--定时器/计数器控制寄存器的位描述表4-2中有TF1、TR1、TF0、TR0这4位需要我们理解清楚。
单只数码管显示原理课程教学教案
一、教案基本信息1. 课程名称:单只数码管显示原理2. 课时安排:2课时(90分钟)3. 教学目标:(1)了解数码管的基本结构和工作原理;(2)掌握数码管的驱动方法;(3)能够设计简单的数码管显示电路。
4. 教学方法:(1)讲授:讲解数码管的基本原理、结构及驱动方法;(2)演示:展示数码管显示电路的工作过程;(3)实践:学生动手搭建数码管显示电路,并进行调试。
二、教学内容与步骤1. 导入:(1)教师简要介绍数码管的概念;(2)提问:数码管有什么作用?它是如何显示数字的?2. 讲解数码管的基本原理:(1)讲解数码管的结构:共阴极和共阳极数码管;(2)讲解数码管的工作原理:电流通断控制显示数字;(3)讲解数码管的驱动方式:段选法和位选法。
3. 演示数码管显示电路:(1)教师展示共阴极数码管显示电路;(2)讲解电路的工作原理:通过控制段选线和位选线的高低电平,实现数码管显示数字;(3)提问:观察电路,你们能发现哪些关键元件?它们的作用是什么?三、课堂实践1. 学生分组,每组搭建一个共阴极数码管显示电路;2. 教师巡回指导,解答学生在搭建过程中遇到的问题;3. 学生调试电路,确保数码管能够正常显示数字;4. 提问:你们是如何实现数码管显示数字的?驱动电路的关键是什么?四、总结与拓展1. 教师总结本节课的重点内容:数码管的基本原理、结构和驱动方法;2. 提问:你们还能想到哪些应用数码管的实例?它们是如何实现的?五、教学评价1. 学生能够描述数码管的基本原理、结构和驱动方法;2. 学生能够搭建并调试共阴极数码管显示电路;3. 学生能够分析数码管应用实例,并提出自己的见解。
六、教学延伸与拓展1. 介绍其他类型的数码管,如双色数码管、点阵式数码管等;2. 讲解数码管的驱动电路设计要点,如限流电阻的选择、供电电压的确定等;3. 探讨数码管显示电路在实际应用中的优势和局限性;4. 提问:如果我们要设计一个较大的数码管显示系统,应该注意哪些问题?七、课堂练习1. 学生分组,设计一个双色数码管显示电路;2. 教师巡回指导,解答学生在设计过程中遇到的问题;3. 学生调试电路,确保数码管能够正常显示数字;4. 提问:你们是如何实现双色数码管显示数字的?与共阴极数码管显示电路有何区别?八、案例分析1. 分析实际应用中的数码管显示电路案例,如电子钟、温度显示器等;2. 讲解案例中的电路原理和设计方法;3. 提问:从这些案例中,你们学到了哪些设计数码管显示电路的技巧?九、课堂小结1. 教师总结本节课的重点内容:数码管的拓展应用和案例分析;2. 提问:你们认为数码管显示电路在实际应用中还有哪些改进空间?十、课后作业与评价2. 教师对学生的课后作业进行评价,了解学生的学习效果;3. 学生互评,分享彼此在课程中的收获和感悟。
单只数码管显示原理课程教学教案
一、教案基本信息教案名称:单只数码管显示原理课程教学教案课时安排:2课时(90分钟)教学目标:1. 让学生了解数码管的基本结构和工作原理;2. 使学生掌握数码管的驱动方法和显示原理;3. 培养学生动手实践能力和团队协作精神。
教学内容:1. 数码管的基本结构2. 数码管的工作原理3. 数码管的驱动方法4. 数码管显示原理5. 动手实践:制作数码管显示器教学资源:1. 数码管显示器模块2. 微控制器(如Arduino、STM32等)3. 编程软件(如Arduino IDE、Keil等)4. 实验工具(如螺丝刀、焊接工具等)二、教学过程1. 导入:教师通过展示数码管显示器的实际应用场景,激发学生兴趣,引导学生思考数码管的工作原理。
2. 讲解数码管的基本结构:教师讲解数码管的内部结构,包括发光二极管阵列、扫描电路等。
3. 讲解数码管的工作原理:教师讲解数码管如何通过扫描电路实现数字的显示,以及数码管的显示原理。
4. 讲解数码管的驱动方法:教师讲解如何通过微控制器驱动数码管显示数字,包括编码方式、段选法等。
5. 动手实践:学生分组进行实验,根据教师提供的电路图和编程代码,组装数码管显示器,并编写程序实现数码管的显示。
三、课堂互动1. 学生在组装数码管显示器过程中,教师引导学生思考数码管驱动电路的工作原理,解答学生疑问。
2. 学生在编写程序过程中,教师引导学生掌握编程技巧,提高编程效率。
3. 学生实验完成后,教师组织学生进行展示和分享,鼓励学生讲述自己的实验心得。
四、课后作业2. 请学生编写程序,实现数码管显示不同的数字和符号。
五、教学评价1. 学生实验成果展示:评价学生数码管显示器的制作质量和显示效果。
2. 学生实验报告:评价学生在实验过程中的观察能力、分析问题和解决问题的能力。
3. 学生课堂表现:评价学生在课堂上的参与程度、提问回答等情况。
六、教学策略1. 采用“实物展示+讲解”的方式,让学生更直观地了解数码管的结构和显示原理。
静态数码管显示课程设计
静态数码管显示课程设计一、课程目标知识目标:1. 让学生理解静态数码管的基本结构和工作原理;2. 让学生掌握静态数码管的连接方式,能正确进行电路搭建;3. 让学生掌握静态数码管显示数字的基本方法,能实现0-9的数字显示。
技能目标:1. 培养学生动手实践能力,能独立完成静态数码管电路的搭建和调试;2. 培养学生运用所学知识解决实际问题的能力,能运用静态数码管设计简单的数字显示电路;3. 提高学生的逻辑思维能力和创新意识,能对静态数码管显示电路进行改进和优化。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发学习热情;2. 培养学生团队协作精神,学会与他人共同解决问题;3. 增强学生的环保意识,关注电子废弃物的处理和回收。
课程性质分析:本课程属于电子技术领域,适用于初中或高中年级学生。
课程内容紧密结合教材,注重实践操作和理论知识相结合。
学生特点分析:该年龄段学生对电子技术有一定的好奇心,具备一定的动手能力和逻辑思维能力,但需引导和培养。
教学要求:结合课程性质和学生特点,明确课程目标,注重理论与实践相结合,培养学生的实践操作能力和创新精神。
通过分解课程目标为具体学习成果,为后续教学设计和评估提供依据。
二、教学内容1. 理论知识:- 数码管的基本概念与分类;- 静态数码管的结构、工作原理及特点;- 静态数码管的驱动方式及电路连接;- 数字显示原理及方法。
2. 实践操作:- 静态数码管电路的搭建与调试;- 数字0-9显示电路的设计与实现;- 优化静态数码管显示电路,提高显示效果;- 电路故障排查与解决。
3. 教学大纲安排:- 第一课时:数码管基本概念、分类及静态数码管的结构、工作原理;- 第二课时:静态数码管的驱动方式、电路连接及数字显示原理;- 第三课时:静态数码管电路的搭建与调试,数字0-9显示电路设计与实现;- 第四课时:优化静态数码管显示电路,电路故障排查与解决。
教材章节关联:本教学内容与教材中电子技术基础、数字电路、显示器件等相关章节紧密关联,涵盖了静态数码管的基本理论知识、实践操作技能及创新设计方法。
单只数码管显示原理课程教学教案
单只数码管显示原理课程教学教案一、教学目标1. 让学生了解数码管的基本结构和工作原理。
2. 使学生掌握数码管的驱动方法和显示原理。
3. 培养学生动手实践能力和团队协作精神。
二、教学内容1. 数码管简介:数码管的分类、结构及工作原理。
2. 数码管驱动电路:CMOS、TTL驱动电路及其比较。
3. 数码管显示原理:编码方式、显示方式及驱动电路设计。
4. 数码管应用实例:数字时钟、电子计算器等。
5. 动手实践:搭建数码管显示电路,实现基本数字显示。
三、教学方法1. 讲授法:讲解数码管的基本原理、驱动电路及显示方法。
2. 演示法:展示数码管的工作原理及应用实例。
3. 实践法:让学生动手搭建数码管显示电路,巩固所学知识。
4. 讨论法:分组讨论,分享搭建过程中的心得与问题。
四、教学准备1. 数码管:一只常见的七段数码管。
2. 驱动电路:CMOS、TTL驱动电路芯片。
3. 电阻、电容、晶体管等电子元件。
4. 实验板、导线、剪刀、剥线钳等工具。
5. Multisim、Proteus等仿真软件。
1. 导入:介绍数码管在现实生活中的应用,激发学生兴趣。
2. 讲解数码管的基本结构和工作原理,演示数码管显示数字的过程。
3. 讲解数码管的驱动电路及驱动方式,比较CMOS和TTL驱动电路的优缺点。
4. 讲解数码管的编码方式和显示方式,分析驱动电路设计要点。
5. 分组讨论:让学生分享对数码管驱动电路设计的理解和思考。
6. 动手实践:搭建数码管显示电路,实现基本数字显示。
7. 总结:回顾本节课所学内容,强调重点知识点。
8. 作业布置:要求学生绘制数码管驱动电路图,并分析其工作原理。
六、教学评估1. 课堂问答:通过提问检查学生对数码管基本原理的理解程度。
2. 动手实践:评估学生在搭建数码管显示电路过程中的操作技能和解决问题的能力。
3. 作业提交:检查学生对数码管驱动电路图的绘制及分析能力。
七、教学拓展1. 数码管的进阶应用:学习更多数码管的应用电路,如温度计、速度计等。
实验3 数码管静态显示
实验3 数码管静态显示一.实验目的:1.学习数码管的静态控制原理2.学习用单片机的串行口扩展74LS373实现静态显示方法。
二.实验原理8字形的数码管驱动方式分两种:共阴极和共阳极。
数码管是由发光二极管组成的,发光二极管有两种驱动方式,数码管自然也有两种驱动方式:共阴极与共阳极。
共阳极是指数码管内部LED的正极接在一起,想要点亮LED,拉低相应的负极即可。
共阴极是指数码管内部LED的负极接在一起,想要点亮LED,拉高相应的正极即可。
什么是数码管编码?就是让数码管显示数字时,对应的IO输出的高低电平。
0xc0,0xf9,0xa4,0xb0, 0x99,0x92,0x82,0xf8, 0x80,0x90,0x88,0x83, 0xc6,0xa1,0x86,0x8e。
共阳极0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71。
共阴极什么是段选?什么是位选?段选就是显示数字时,这个数字对应的IO编码,也就是上面的数码管编码。
位选就是让8个数码管的哪一个数码管显示数字。
锁存器的功能就是可以把当前输入的状态在输出脚锁住,这样及时输入变化,输出也能保持不变。
控制方式非常简单,只需控制74LS373的控制即可。
8位共阴数码管其中J2(B)为段锁存,J2(A)为位锁存。
实验原理图如下:共阳极显示数字1程序:共阴8位数码管显示1位数字(旧板子第0位显示数字4)#include<reg52.h>#include<intrins.h>sbit duan=P2^2; 连接J2(B)sbit wei=P2^3;void main(){While(1){P1=0xfe; //第0位显示Wei=1;Wei=0;Delay50ms();P1=0x66;duan=1; //显示数字4duan=0;}}Void delay50ms(){Unsigned int i;For(i=0;i<1000;i++);}。
数码管静态显示实验
1、根据LED数码管连接电路(电路中U1是74LS244作为段码驱动电路;U5和U4是SN75452,与非门,作为位选信号电路),编写实验程序,实现1位数码管的静态显示,要求:内容为0~9循环显示。
2、接线方案:
P10~P17/51单片机 接 a、b…dp/数码管;
P2.0~P2.3/51单片机 接 s1~s4/数码管。
单 片 机实验报告
通信工程系13班(2016年5月19日 )
姓名学号31130
实验题目:数码管静态显示实验
实验目的:
1.掌握8051单片机与七段LED数码管连接的设计方法;
2.掌握LED数码管静态显示的编程方法。
实验仪器:
51单片机、LED数码管
实验原理:
LED数码管静态显示的显示程序简单,显示亮度高,但所需的I/O端口较多,并且功耗较大。所以静态显示常用在显示位数较少的系统中。下表为共阴极LED数码管的段码表
实验步骤:
1.连接串行通信电缆盒电源线;
2.将C51单片机核心板上的三个开关分别拨到“独立”、”运行”“单片机”;
3.打开实验箱上的电源开关。
4.利用KeilC51创建实验程序,并进行编译生产后缀为.HEX的文件;
5.利用STC-ISP软件将后缀为.HEX的文件下载到单片机ROM中;
6.观察实验现象,并记录。若实验现象有误请重复第5、6步。
实验程序:
实验结果:
任课老师评语:
签名:__________
日期_____Leabharlann __月__
数码管静态与动态显示备课讲稿
保持器的输入端连通。
为什么要使用锁存器
• 如果单片机的总线接口只作一种用途,不需要接 锁存器;如果单片机的总线接口要作两种用途, 就要用两个锁存器。例如:一个口要控制两个数 码管,对第一个数码管送数据时,“打开”第一个 锁存器而“锁住”第二个锁存器,使第二个数码管 上的数据不变。对第二个数码管送数据时,“打开 ”第二个锁存器而“锁住”第一个锁存器,使第一个 数码管上的数据不变。
共阴数码管码表
(不显示小数点)
• 0x3f , 0x06 , 0x5b , 0x4f , 0x66 , 0x6d ,
•0 1 2 3 4 5
• 0x7d , 0x07 , 0x7f , 0x6f , 0x77 , 0x7c ,
•6
7 8 9AB
• 0x39 , 0x5e , 0x79 , 0x71 , 0x00
数码管静态与动态显示
多段位数码管
所有数码管的段选并联 各数码管的公共端分别接出来用作位选端
锁存器74HC573
• 1脚是输出使能 • 11脚是锁存使能D是输入Q是输出 • H是高电平,L是低 • OE是1脚 LE是11脚 • OE 接低电平 • 当 LE = 0 时,P0端口的8位数据线与74HC573内部数据
循环(跳出循环简单实用的方式)
谢谢!
此课件下载可自行编辑修改,仅供参考! 感谢您的支持,我们努力做得更好!谢谢
• C D E F 无显示
共阳数码管码表
(不显示小数点)
• 0xc0 , 0xf9 , 0xa4, 0xb0 , 0x99 , 0x92 ,
•0 1 2 3 4 5
单个数码管静态显示教案
单个数码管静态显示公开课教案主讲老师杨镇彬授课班级13电子B2 日期2014.11.11教学目标:1、理解数码管显示控制原理;2、掌握单个数码管静态显示的程序;并能修改程序;3、能使用模拟软件模拟实验效果。
重点难点:1、数码管静态显示原理;2、数码管显示控制的主程序。
教学过程时间一、复习ORG MOV AJMP SJMPDJNZ CLR RET END4二、导入在我们生活中能经常见到LED数码管的应用实例,如电饭煲、电磁炉、洗衣机、数码万年历等等,这些数码管都是由单片机控制的,那么如何控制数码管显示的呢?1三、七段LED数码管内部结构有a、b、c、d、e、f、g七个笔画,第八位为小数点dp,分为共阳、共阴两大类。
3四、显示原理以共阴极为例(控制正极,高电平有效)9五、电气原理图分析3六、练习1猜猜显示的数字是多少?MOV P0,# 0000 0110 B 1MOV P0,# 0101 1011 B 2MOV P0,# 0111 1111 B 8请问要显示数字7的话,需要传送什么数值给P0端口?6七、单个数码管显示MAIN: CLR P2.0;设置低电平,接通负极MOV A,#00000110B;储存控制信号MOV P0,A;传送控制信号LCALL DELAY;调用延时子程序SJMP MAIN;跳回主程序8主程序八、完整程序ORG 0000HAJMP MAINORG 0100HMAIN:CLR P2.0MOV A,#00000110BMOV P0,ASJMP MAINEND1九、软件模拟实验1、显示数字1; 2十、学生操作叫学生上讲台操作(修改程序) 2十一、本节小结单个数码管的静态显示其实是八个流水灯控制的延伸,两者的控制原理一样。
但是两者的控制方式有区别:1、八个流水灯是控制负极(低电平有效),单个数码管是控制正极(高电平有效)。
2、使用的指令:MOV ;CLR;LCALL;SJMP;NOP;DJNZ;RET5十二、思维拓展想一想:如何使用单个数码管循环显示数字0~9?(参考项目二的程序)1板书设计dp g f e d c b a 数字1:0 0 0 0 0 1 1 0 数字2:0 1 0 1 1 0 1 1 数字3:0 1 0 0 1 1 1 1 MOV P0,# 0000 0110 B显示1MOV P0,# 0101 1011 B显示2MOV P0,# 0111 1111 B显示8ORG 0000HAJMP MAINORG 0100HMAIN: CLR P2.0;设置低电平,接通负极MOV A,#00000110B;储存控制信号MOV P0,A;传送控制信号LCALL DELAY;调用延时子程序SJMP MAIN;跳回主程序END共阴极数码管编号:7SEG-MPX1-CC与八个流水灯控制方式的区别:1、八个流水灯是控制负极(低电平有效),单个数码管是控制正极(高电平有效)。
20.数码管静态显示
三位七段数码管
数码管共阴极和共阳极结构
数码管静态显示工作原理
试验箱上的数码管硬件结构
数码管静态控制 软 件要 求
数码管静态显示工作原理
• 独立控制的,而
态 显
“段选”是连在一起的,我们可以通
示
过位选信号控制哪几个数码管亮,而
原
在同一时刻,位选通的几个数码管上
感谢
谢谢,精品课件
资料搜集
数码管静态控制
项目任务 • 在8位数码管的最末位上静态依次显
要求
示数字1-8。
数码管静态控制
连线
• 我们用排线将P0口连段选排针,用 另一组排线将将P2连位选排针。这 里即表示P0口是段选口,P2口是位 选口。
数码管静态控制 硬 件要 求
单片机试验箱上的数码管
数码管的类型
单位八段数码管 单位米字形数码管
}
//选择第1个数码管
//在1位数码管上依次显示相 应的数字1-8。
}
}
总结 数码管的类型 数码管的共阴极和共阳极结构 数码管的静态显示工作原理 数码管的静态显示举例
作业
• 画出数 码管共 阴极结 构图
• 画出数 码管共 阳极结 构图
• 简述数 码管静 态控制 原理
• 写出数 码管静 态控制 在八位 上同时 显示数 字8的程 序
51单片机实训课程 数码管静态显示
重点 • 数码管的类型
1
2 • 数码管的共阴极和共阳极结构
• 数码管的静态显示工作原理 3
• 数码管的静态显示举例 4
难点
数码管的共阴极和共阳极结构 1
• 数码管的静态显示工作原理
2
• 数码管的静态显示程序举例 3
情境引入
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
单个数码管静态显示公开课教案
主讲老师杨镇彬授课班级13电子B2 日期2014.11.11
教学目标:1、理解数码管显示控制原理;
2、掌握单个数码管静态显示的程序;并能修改程序;
3、能使用模拟软件模拟实验效果。
重点难点:1、数码管静态显示原理;
2、数码管显示控制的主程序。
教学过程时
间
一、复习ORG MOV AJMP SJMP
DJNZ CLR RET END
4
二、导入在我们生活中能经常见到LED数码管的应用实例,如电饭煲、电磁炉、洗
衣机、数码万年历等等,这些数码管都是由单片机控制的,那么如何控制数码
管显示的呢?
1
三、七段LED数码管内部结构
有a、b、c、d、e、f、g七个笔画,第八位为小数点dp,分为共阳、共阴
两大类。
3
四、显示原
理
以共阴极为例(控制正极,高电平有效)9
五、电气原
理图分析
3
六、练习1猜猜显示的数字是多少?
MOV P0,# 0000 0110 B 1
MOV P0,# 0101 1011 B 2
MOV P0,# 0111 1111 B 8
请问要显示数字7的话,需要传送什么数值给P0端口?
6
七、单个数码管显示MAIN: CLR P2.0;设置低电平,接通负极
MOV A,#00000110B;储存控制信号
MOV P0,A;传送控制信号
LCALL DELAY;调用延时子程序
SJMP MAIN;跳回主程序
8
主程序
八、完整程序ORG 0000H
AJMP MAIN
ORG 0100H
MAIN:CLR P2.0
MOV A,#00000110B
MOV P0,A
SJMP MAIN
END
1
九、软件模
拟实验
1、显示数字1; 2
十、学生
操作
叫学生上讲台操作(修改程序) 2
十一、本节小结
单个数码管的静态显示其实是八个流水灯控制的延伸,两者的控制原理一样。
但是两者的控制方式有区别:
1、八个流水灯是控制负极(低电平有效),单个数码管是控制正极(高电平
有效)。
2、使用的指令:MOV ;CLR;LCALL;SJMP;NOP;DJNZ;RET
5
十二、思维拓展
想一想:
如何使用单个数码管循环显示数字0~9?
(参考项目二的程序)
1
板书设计
dp g f e d c b a 数字1:0 0 0 0 0 1 1 0 数字2:0 1 0 1 1 0 1 1 数字3:0 1 0 0 1 1 1 1 MOV P0,# 0000 0110 B显示1
MOV P0,# 0101 1011 B显示2
MOV P0,# 0111 1111 B显示8
ORG 0000H
AJMP MAIN
ORG 0100H
MAIN: CLR P2.0;设置低电平,接通负极
MOV A,#00000110B;储存控制信号
MOV P0,A;传送控制信号
LCALL DELAY;调用延时子程序
SJMP MAIN;跳回主程序
END
共阴极数码管编号:7SEG-MPX1-CC
与八个流水灯控制方式的区别:
1、八个流水灯是控制负极(低电平有效),
单个数码管是控制正极(高电平有效)。