电子科技大学 数字逻辑课程设计——流水灯的实现

合集下载

电子课程设计—电子流水灯设计报告

电子课程设计—电子流水灯设计报告

《电子技术》课程设计报告设计题目:电子流水灯电路设计与制作电子流水灯电路设计与制作报告一、设计目的1.能够全面的巩固和应用“电子技术”课程中所学的基础理论和基本方法,并初步掌握小型数字系统设计的基本方法。

2.能够合理、灵活地应用各种标准集成电路(SSI、MSI、LSI等)器件实现规定的数字系统。

3.培养独立思考、独立准备资料、独立设计规定功能的数字系统能力。

4.培养独立进行实验,包括电路布局、安装、调试和排除故障的能力。

5.培养书写综合设计实验报告的能力。

二、设计任务用中小规模集成电路设计并制作一个能实现8个彩灯正序或反序按1秒依次点亮的电路:1.由晶振电路或555电路产生1HZ标准秒脉冲信号,作为电路的CP。

2.可逆的顺序脉冲发生电路。

3.显示驱动电路4.彩灯。

5.电源。

三、设计方案2、单元电路设计①秒脉冲发生电路由555电路实现秒脉冲,f=1HZ。

②可逆的顺序脉冲发生电路可用74LS1191实现,5号引脚接拨动开关,拨动开关的2个端分别接高电平(接5V电源)和低电平(接地),当开关拨到高电平时,进行减计数,当开关拨到低电平时,进行加计数,这样来实现可逆顺序脉冲发生电路③显示驱动电路可由74ls138实现译码,来控制发光2极管的发光情况74LS138真值表R3-R10电阻起到保护发光二极管的作用。

④电源电路将12V电压整流成5V。

3、整机电路图555集成电路各引脚名称:1地GND,2触发,3输出,4复位,5控制电压,6门限(阈值)7放电,8电源电压VCC。

74ls191各引脚名称:1-3并行数据输入端,2-3输出端,6-7输出端,5加减计数方式控制端,11电源,4地GND,14秒脉冲输入端,12计数控制端,13时钟输出端74ls138各引脚名称:1-3译码地址输入端,7-15译码输出端,16电源,8地GND,4-5选通端(低电平有效),6选通端(高电平有效)四、主要元器件介绍1.通用实验底板2.直流稳压电源(5V)3.集成电路:555、74LS191、74LS1384.电容:47uF/16V,0.01uF/16V5.电阻:10k,1k6.数显:发光二极管7.开关:波动开关五、焊接与调试1、元器件布局图2、焊接步骤1.准备工作:在安装之前,首先对每个元件进行检测,看一看是否合格(包括型号、数值、耐压和极性),不合格的需及时更换;其次把每只元件的引线用砂纸或小刀刮干净,露出金属光泽,涂上焊剂进行上锡;最后把元件的引线按电路板上位置的长度弯好,弯线时使标记朝外,一手用镊子夹住元件根部附近,另一手弯动引线,拐弯处成弧形。

流水灯的实验原理及步骤

流水灯的实验原理及步骤

流水灯的实验原理及步骤流水灯(也称为跑马灯)是一种由多个LED灯组成的电子显示器件,常常被用于电子实验、电子产品展示等场合中。

流水灯可以通过变化发光的方式来传递信息或者装饰环境,具有简单、实用、灵活的特点。

下面将详细介绍流水灯的实验原理及步骤。

实验原理:流水灯的实现原理是通过控制每个LED灯的点亮与熄灭来形成一种连续而有序的动画效果,使得LED灯看起来像是在“流水”一样运动。

一般来说,流水灯采用的是LED的时分多路复用技术,即通过定时器控制每个LED点亮和熄灭的时刻,使得它们按照一定的顺序依次发光。

实验步骤:1. 准备材料:LED灯(数量根据需要决定)、电阻(限流电阻,选择合适的阻值)、电路板、导线、面包板或焊接工具等。

2. 连接电路:根据所需的LED数量,设计电路图,按照图上的连线方式将LED 连接到电路板上,注意保持连线的正确性。

3. 添加电阻:根据LED的工作电压和电流需求,计算每个LED对应的限流电阻的阻值,将电阻依次与LED进行串联连接。

4. 供电测试:将电路板连接到电源上,确认电源电压是否符合LED的工作电压要求。

注意检查整个电路的连线是否正确,电阻是否接在了正确位置。

5. 编写程序:使用单片机或其他控制芯片来控制LED的点亮和熄灭。

根据所采用的开发平台和编程语言,编写相应的代码,控制每个LED的状态和时间间隔。

6. 调试程序:将编写好的程序下载到控制芯片中,并连接到电路板上。

通过电脑或其他输入设备控制程序运行,观察LED的点亮和熄灭效果。

根据需要调整程序中每个LED的点亮时间和顺序,使得LED灯看起来像是在流水一样运动。

7. 完善电路:根据实际需求,可以设计并添加其他功能模块,如按键控制、调节亮度等。

总结:流水灯实验是一种常见的电子实验,通过控制LED灯的点亮和熄灭来形成一种连续的流动效果。

实验的原理是利用LED的时分多路复用技术和控制芯片的编程来实现。

实验步骤包括准备材料、连接电路、添加限流电阻、供电测试、编写程序、调试程序和完善电路等。

电子流水灯课程设计

电子流水灯课程设计

电子流水灯课程设计一、课程目标知识目标:1. 学生能理解电子流水灯的基本原理,掌握其电路组成及工作流程。

2. 学生能掌握数字电路基础知识,了解触发器、计数器等基本元件的功能及应用。

3. 学生能运用所学知识,分析并设计简单的电子流水灯电路。

技能目标:1. 学生能够独立完成电子流水灯电路的搭建,具备实际操作能力。

2. 学生能够运用所学知识解决实际电路问题,具备一定的故障排查能力。

3. 学生能够通过课程学习,提高动手实践能力,培养创新思维。

情感态度价值观目标:1. 学生在学习过程中,培养对电子技术的兴趣和热情,增强学习动力。

2. 学生通过合作学习,培养团队协作精神,提高沟通与表达能力。

3. 学生能够认识到电子技术在生活中的广泛应用,树立科技改变生活的观念。

本课程旨在结合学生特点和教学要求,通过理论与实践相结合的教学方式,使学生掌握电子流水灯的相关知识,提高动手实践能力。

课程目标具体、可衡量,有助于学生和教师在教学过程中明确预期成果,为后续的教学设计和评估提供依据。

二、教学内容本章节教学内容主要包括以下三个方面:1. 电子流水灯基本原理及电路组成- 介绍电子流水灯的工作原理,如循环点亮LED灯的机制。

- 分析电子流水灯的电路组成,包括电源、控制芯片、LED灯、电阻等元件。

- 引导学生了解教材中相关章节,如数字电路基础、触发器与计数器等。

2. 电子流水灯电路设计与搭建- 讲解如何设计电子流水灯电路,包括电路图绘制、元件选型等。

- 指导学生动手搭建电子流水灯电路,掌握实际操作方法。

- 结合教材内容,安排实践环节,让学生亲身体验电路搭建过程。

3. 故障排查与优化- 分析电子流水灯可能出现的故障及其原因,如电路短路、元件损坏等。

- 教授学生基本的故障排查方法,提高解决问题的能力。

- 引导学生针对电路进行优化,提高电子流水灯的性能。

教学内容按照教学大纲安排,循序渐进,确保学生能够掌握电子流水灯相关知识。

教材章节与教学内容紧密结合,有利于学生理论联系实际,提高学习效果。

NE555流水灯设计报告

NE555流水灯设计报告

目录摘要 (1)Abstract (1)第一章绪论 (2)1.1 流水灯背景 (2)1.2 流水灯的目的及意义 (2)第二章基础芯片介绍 (2)2.1 555振荡电路原理 (2)2.2 CD4017译码电路原理 (3)2.3章节小结 (4)第三章设计方案 (5)3.1 总体设计方案 (5)3.2 章节小结 (6)第四章系统软件设计 (6)4.1 用proteus绘制仿真图 (6)4.2 用DXP画原理和PCB图 (6)4.3 实物PCB电路板制作 (7)4.4 整理制作过程视频 (8)4.5 章节小结 (8)第五章系统调试与测试 (9)5.1 系统调试 (9)5.2注意事项 (9)5.3测试结果 (9)5.4章节小结 (10)第六章总结与展望 (11)第七章辞........................................... 错误!未定义书签。

附件. (12)1.NE555流水灯原理图 (12)2.NE555流水灯PCB图 (12)摘要该创新实验是完成流水灯的制作,通过结合NE555芯片以及CD4017芯片,制作出简易流水灯的过程,并将整个制作流程录制下来。

利用会声会影软件完成制作录像的剪辑,形成视频讲解整个制作流程,本次制作使的是覆铜板,即设计好原理图后用DXP画图软件绘制好电路图。

结合CD4017芯片的功能,将其与555芯片联合使用,从而实现流水灯的效果。

利用dxp软件,进行pcb画图,经过一系列操作完成作品。

本次设计,我充分了解了CD4017和NE555芯片的使用,对于如何实际、制作电路也有了理性上的认识,对于今后的对于新知识的学习以及各种芯片的运用有了提高。

关键字:555芯片 CD4017芯片 DXP画图软件会声会影X5AbstractThe innovative experiment is finished running lights, through a combination of NE555 chip and CD4017 chip, made simple water lights and the process recorded video clips, completed by Ulead Video Studio software, forming a video to explain the production process, the production that is the CCL, namelygood design principle diagram after using DXP drawing the circuit diagram drawing software. Combined with the CD4017 chip's function, the combined with 555 chips, so as to achieve water lamp effect. Using DXP software, PCB drawing, after a series of operations to complete works.This design, I fully understand the use of CD4017 and NE555 chips, to actually,making circuit also have a rational understanding, for the future to learn new knowledge and various chips use has increased.Keywords: 555 chip CD4017 DXP drawing software, video studio X5 .第一章绪论1.1 流水灯背景当今的社会是一个新技术层出不穷的时代,科技迅速发展,在电子领域的发展更是迅速,同时也在影响着我们的生活。

微机原理流水灯

微机原理流水灯

微机原理流水灯流水灯,又称为跑马灯,是一种常见的LED灯效。

它的灯光效果像水流一样流动,非常美观。

在微机原理中,流水灯的实现是一个很好的实践项目,可以帮助学生理解数字电路和微机原理的知识。

本文将介绍如何使用微机原理实现流水灯,并提供相应的代码和电路图供参考。

首先,我们需要准备以下材料:1. 8个LED灯。

2. 8个220Ω的电阻。

3. 一个面包板。

4. 杜邦线若干。

5. 一个Arduino开发板。

接下来,我们来看一下流水灯的原理。

流水灯的实现原理是通过依次点亮LED 灯,然后熄灭前一个LED,点亮下一个LED,以此类推,就形成了灯光像水流一样流动的效果。

在微机原理中,我们可以利用Arduino开发板来实现这一效果。

首先,我们需要将8个LED灯连接到Arduino开发板上。

将LED的长针(阳极)通过220Ω的电阻连接到Arduino的数字引脚2~9上,LED的短针(阴极)接地。

接下来,我们需要编写Arduino的程序来实现流水灯的效果。

以下是流水灯的Arduino代码:```c。

int ledPins[] = {2, 3, 4, 5, 6, 7, 8, 9};void setup() {。

for (int i = 0; i < 8; i++) {。

pinMode(ledPins[i], OUTPUT);}。

}。

void loop() {。

for (int i = 0; i < 8; i++) {。

digitalWrite(ledPins[i], HIGH);delay(100);digitalWrite(ledPins[i], LOW);}。

}。

```。

在这段代码中,我们首先定义了8个LED灯的引脚号,然后在setup函数中将这些引脚设置为输出模式。

在loop函数中,我们依次点亮每个LED灯,并通过delay函数控制灯光的流动速度。

接下来,我们将Arduino开发板连接到电脑上,上传这段代码到开发板中。

流水灯的实验原理及步骤

流水灯的实验原理及步骤

流水灯的实验原理及步骤流水灯是一种用于电子电路实验的简单电路。

它由一组LED灯组成,灯珠逐个点亮,呈现出流水的效果。

以下是流水灯的实验原理及步骤:实验原理:流水灯的实验原理是借助555计时器和数个逻辑门实现的。

555计时器产生的方波信号通过逻辑门的组合,控制LED灯的亮灭顺序,从而实现流水的效果。

实验步骤:1.准备材料和工具:一块实验面板、555计时器、几个逻辑门(如74LS04等)、一组LED灯、几个电阻、导线等。

2.将555计时器、逻辑门、LED灯等器件按照连线图连接在实验面板上。

具体连接方式如下:- 将VCC引脚连接到正电源。

- 将GND引脚连接到地线。

- 连接一个电阻和电容来设置555计时器的频率。

电阻连接到引脚7(DISCHARGE)和引脚8(VCC)之间,电容连接到引脚6(THRESHOLD)和引脚2(TRIGGER)之间。

同时将电容的另一端连接到地线。

- 将555计时器的引脚3(OUTPUT)连接到逻辑门1的一个输入端,再将逻辑门1的输出端连接到一个电阻,电阻的另一端连接到LED灯1的正极。

LED 灯1的负极连接到地线。

- 将LED灯1的负极连接到逻辑门2的一个输入端,再将逻辑门2的输出端连接到一个电阻,电阻的另一端连接到LED灯2的正极。

LED灯2的负极连接到地线。

- 依此类推,将其他LED灯也连接起来,形成流水灯的效果。

3.检查连接是否正确,确保没有短路或接触不良的地方。

4.将正电源接入电路,调整电阻和电容的值,以控制流水灯的速度和亮度。

5.观察LED灯的亮灭顺序,若亮灯顺序与预期不符,可能需要调整逻辑门的输入连接方式。

6.实验完成后,断开电源,注意安全。

以上是流水灯的实验原理及步骤,希望对你有帮助。

数字电子的课程设计流水灯

数字电子的课程设计流水灯

数字电子的课程设计流水灯一、课程目标知识目标:1. 学生能够理解数字电路基础,掌握基本逻辑门电路的工作原理及应用。

2. 学生能够掌握流水灯电路的设计原理,理解各部分功能及相互关系。

3. 学生能够了解数字电路在生活中的应用,认识到数字电子技术的重要性。

技能目标:1. 学生能够运用所学知识,设计简单的数字电路,具备实际操作能力。

2. 学生能够使用编程软件编写简单的程序,控制流水灯的显示效果。

3. 学生能够通过实践,培养动手能力、团队协作能力和问题解决能力。

情感态度价值观目标:1. 学生对数字电子技术产生兴趣,激发学习热情,培养积极探索的精神。

2. 学生能够认识到科技发展对生活的影响,增强社会责任感和创新意识。

3. 学生在实践过程中,培养良好的学习习惯,树立正确的价值观。

课程性质:本课程为数字电子技术实践课程,以理论为基础,实践为主,注重培养学生的动手能力和实际操作技能。

学生特点:初三学生,具备一定的物理基础和逻辑思维能力,对新鲜事物充满好奇,喜欢动手实践。

教学要求:结合学生特点,采用启发式教学,引导学生主动探究,注重理论与实践相结合,提高学生的综合素养。

通过课程学习,使学生能够达到上述课程目标,为后续学习打下坚实基础。

二、教学内容1. 数字电路基础知识:逻辑门电路原理、逻辑函数及其表达式、真值表等。

教材章节:第一章“数字电路基础”2. 流水灯电路设计原理:流水灯工作原理、电路组成、编程控制方法等。

教材章节:第三章“组合逻辑电路”及第五章“数字电路应用”3. 实践操作:流水灯电路搭建、编程控制、调试与优化。

教材章节:第六章“数字电路实践”教学安排与进度:第一课时:回顾数字电路基础知识,介绍流水灯工作原理。

第二课时:学习流水灯电路设计,分析电路各部分功能及相互关系。

第三课时:实践操作,学生分组进行流水灯电路搭建和编程控制。

第四课时:调试与优化,学生展示作品,交流心得,教师点评。

教学内容确保科学性和系统性,结合课程目标,注重理论与实践相结合,使学生能够在实践中掌握数字电子技术的基本知识和应用能力。

流水灯课程设计报告

流水灯课程设计报告

摘要流水彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。

本次设计的流水彩灯控制器是其中较简单的,但这是进行复杂设计的基础。

本次课程设计要设计一个流水彩灯控制器〔用8只发光二极管显示,至少三种工作方式〕。

首先要分析设计要求,从要实现至少三种工作方式入手推导出要使用的芯片。

可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端控制来实现它的流水彩灯的变化。

要控制流水彩灯的变化,可通过一个八位拨码开关,八选一数据选择器74LS151,模十六加法计数器74LS161来实现。

时钟信号由一个555产生,产生周期可由一个滑动变阻器控制。

而彩灯的变化可由拨码开关自行选择。

经实验验证,所设计的流水彩灯控制器能完成题目要求。

关键词 : 时钟脉冲;分频;移位寄存器;数据选择器;拨码开关;目录摘要 (1)1设计课题与要求 (3)1.1设计方案选择 (3)2 系统模块组成 (4)2.1系统组成框图 (4)2.2各模块的组成与功能分析 (4)3 单元电路设计与计算 (5)3.1时钟脉冲产生电路 (5)3.2单种码产生电路 (7)3.3拨码开关控制电路 (8)3.4输出电路设计 (10)4 整机电路设计 (12)整机电路工作原理 (10)5 组装调试 (13)5.1仿真过程 (15)6 总结 (15)结论 (16)参考文献 (16)附录1 流水彩灯控制器原理总图 (17)附录2 PCB总图 (17)附录 2 元器清单 (18)1 设计课题及要求〔一〕题目:流水彩灯控制器〔二〕基本要求:1、用8只发光二极管显示。

2、至少三种工作方式。

1.1 方案选择利用数字芯片实现。

用555做时钟信号,用模十六加法计数器74LS161的输出端的最高位Q3,模十六加法计数器74LS161的输出端的Q1Q2Q3接到八选一的数据选择器74LS151的选择控制端。

74LS151的八个输入端都接到八位拨码开关,由拨码开关和控制端控制输出端,输出端接到移位寄存器74LS164的输入端。

流水灯VHDL Done1

流水灯VHDL  Done1

基于VHDL流水灯的设计电子信息科学与技术刘敏何磊成江波一、设计内容流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。

流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。

要求采用可编程逻辑器件实现一个流水灯控制电路,12个LED灯能连续发出4种不同的流水显示形式。

彩灯控制器的第1种花样为彩灯按顺时针方向逐次点亮;第2种花样为彩灯按逆时针方向逐次点亮,然后全灭全亮;第3种花样为彩灯两边同时亮1、2、3、4、5、6个逐次向中间移动再散开;第4种花样为彩灯连续交叉闪烁。

多个花样自动变换,循环往复。

二、设计方案彩灯是由FPGA板上的LED灯代替,有以下4种闪烁效果1.彩灯按顺时针方向逐次点亮。

2.彩灯按逆时针方向逐次点亮,然后全灭全亮。

3.彩灯两边同时亮1、2、3、4、5、6个逐次向中间移动再散开。

4.彩灯连续交叉闪烁。

本控制电路采用VHDL语言设计。

运用自顶而下的设计思想,按功能逐层分割实现层次化设计。

根据多路彩灯控制器的设计原理,分别对应彩灯的四种变化模式、利用VHDL语言实现该功能.三、系统框图四、流水灯设计程序library IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.STD_LOGIC_unsigned.all;entity led1 isport(sysclk: in std_logic;dout: out std_logic_vector(11 downto 0) );end led1;architecture jgt of led1 issignal cnt : std_logic_vector ( 7 downto 0);--variable count:integer RANGE 0 TO 7;signal count : std_logic_vector(5 DOWNTO 0);beginprocess(sysclk)beginif ( sysclk'event and sysclk = '1') thencnt <= cnt + 1;if (cnt = "11110111") thencnt <= "00000000" ;count <=count+1;end if ;end if;end process;process (count)begincase count iswhen "000000" =>dout <= "111111111110";when "000001" =>dout <= "111111111101";when "000010" =>dout <= "111111111011";when "000011" =>dout <= "111111110111";when "000100" =>dout <= "111111101111";when "000101" =>dout <= "111111011111";when "000110" =>dout <= "111110111111";when "000111" =>dout <= "111101111111";when "001000" =>dout <= "111011111111";when "001001" =>dout <= "110111111111";when "001010" =>dout <= "101111111111";when "001011" =>dout <= "011111111111";when "001100" =>dout <= "101111111111";when "001101" =>dout <= "110111111111";when "001110" =>dout <= "111011111111";when "010000" =>dout <= "111110111111";when "010001" =>dout <= "111111011111";when "010010" =>dout <= "111111101111";when "010011" =>dout <= "111111110111";when "010100" =>dout <= "111111111011";when "010101" =>dout <= "111111111101";when "010110" =>dout <= "111111111110";when "010111" =>dout <= "111111111111";when "011000" =>dout <= "000000000000";when "011001" =>dout <= "011111111110";when "011010" =>dout <= "101111111101";when "011011" =>dout <= "110111111011";when "011100" =>dout <= "111011110111";when "011101" =>dout <= "111101101111";when "011110" =>dout <= "111110011111";when "011111" =>dout <= "111101101111";when "100000" =>dout <= "111011110111";when "100001" =>dout <= "110111111011";when "100010" =>dout <= "101111111101";when "100011" =>dout <= "011111111110";when "100100" =>dout <= "001111111100";when "100101" =>dout <= "110011110011";when "100110" =>dout <= "111100001111";when "100111" =>dout <= "110011110011";when "101000" =>dout <= "001111111100";when "101001" =>dout <= "000111111000";when "101010" =>dout <= "111000000111";when "101011" =>dout <= "000111111000";when "101100" =>dout <= "000011110000";when "101101" =>dout <= "111100001111";when "101110" =>dout <= "000001100000";when "101111" =>dout <= "000000111111";when "110000" =>dout <= "010*********";when "110001" =>dout <= "101010101010";when "110010" =>dout <= "010*********"; when "110011" =>dout <= "101010101010"; when "110100" =>dout <= "010*********"; when "110101" =>dout <= "101010101010"; when "110110" =>dout <= "010*********";when "110111" =>dout <= "101010101010";when "111000" =>dout <= "010*********"; when "111001" =>dout <= "101010101010"; when "111010" =>dout <= "010*********";when "111100" =>dout <= "010*********";when "111101" =>dout <= "101010101010";when "111110" =>dout <= "010*********";when "111111" =>dout <= "101010101010";when others=>null;end case;end process;end jgt;五、系统仿真与调试1.仿真波形图通过QuartusII软件,我们进行了仿真,其仿真波形如下图:图一:仿真波形图由设计要求可知,本设计要求采用可编程逻辑器件实现一个流水灯控制电路,12个LED 灯能连续发出4种不同的流水显示形式。

电路流水灯课程设计

电路流水灯课程设计

电路流水灯课程设计一、课程目标知识目标:1. 学生能理解并描述电路流水灯的工作原理,掌握相关的电子元件知识,如电阻、电容、二极管等。

2. 学生能掌握基本的电路图绘制方法,并能够识别常见的电路符号。

3. 学生能理解并运用数字逻辑电路的基本原理,完成电路流水灯的设计与制作。

技能目标:1. 学生能够运用所学知识,动手搭建简单的电路流水灯,培养实际操作能力。

2. 学生能够通过实验,分析并解决电路流水灯中可能出现的问题,提高问题解决能力。

3. 学生能够通过团队协作,共同完成电路流水灯的设计与制作,提升团队协作能力。

情感态度价值观目标:1. 学生在学习过程中,培养对电子技术的兴趣,激发学习热情,形成积极的学习态度。

2. 学生通过实践操作,体会科技的魅力,增强创新意识,提高探索精神。

3. 学生在团队协作中,学会互相尊重、互相帮助,培养良好的团队合作精神。

课程性质:本课程为实践性较强的课程,旨在让学生通过动手实践,掌握电路设计与制作的基本技能。

学生特点:学生为八年级学生,具备一定的物理知识和动手能力,对电子技术有一定的好奇心和兴趣。

教学要求:注重理论与实践相结合,关注学生的动手实践能力,培养学生的创新意识和团队合作精神。

在教学过程中,将课程目标分解为具体的学习成果,以便进行有效的教学设计和评估。

二、教学内容本课程教学内容主要包括以下三个方面:1. 电路基础知识:- 电路的基本概念与原理- 常见电子元件的功能与使用方法,如电阻、电容、二极管等- 电路图的绘制与识别2. 数字逻辑电路:- 数字逻辑电路的基本原理与功能- 组合逻辑电路与时序逻辑电路的设计与分析- 电路流水灯的工作原理与设计方法3. 电路流水灯实践:- 电路流水灯的设计与制作- 实验操作步骤与技巧- 故障分析与问题解决教学大纲安排如下:第一课时:电路基础知识学习,了解电路流水灯的工作原理第二课时:数字逻辑电路学习,学习组合逻辑电路与时序逻辑电路设计第三课时:电路流水灯设计原理讲解,进行初步设计第四课时:动手实践,分组进行电路流水灯的制作与调试第五课时:展示与评价,分享作品,总结经验与教训教学内容关联教材章节:- 《电子技术基础》第三章:常用电子元件- 《电子技术基础》第四章:数字逻辑电路- 《电子技术基础》第五章:实践项目——电路流水灯在教学过程中,教师需确保内容的科学性和系统性,注重理论与实践相结合,指导学生完成课程目标。

流水灯的设计与制作

流水灯的设计与制作
软件方案????????????????????????????941程序流程图?????????????????????????942元件清单??????????????????????????943参考程序??????????????????????????944proteus仿真软件??????????????????????105
TXD
P3.2
INT0
P3.3INT1P3.4T0P3.5T1
P3.6
WR
P3.7
RD
P3口还接收一些用于闪烁存储器编程和程序校验的控制信号。
RST:
复位输入。当震荡器工作时,RET引脚出现两个机器周期以上的高电平将使单片机复位。
ALE/ :
当访问外部程序存储器或数据存储器时,ALE输出脉冲用于锁存地址的低8位字节。即使不访问外部存储器,ALE以时钟震荡频率的1/16输出固定的正脉冲信号,因此它可对输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳过一个ALE脉冲时,闪烁存储器编程时,这个引脚还用于输入编程脉冲。如果必要,可对特殊寄存器区中的8EH单元的D0位置禁止ALE操作。这个位置后只有一条MOVX和MOVC指令ALE才会被应用。此外,这个引脚会微弱拉高,单片机执行外部程序时,应设置ALE无效。
PSEN:
程序储存允许输出是外部程序存储器的读选通信号,当AT89C51由外部程序存储器读取指令时,每个机器周期两次PSEN 有效,即输出两个脉冲。在此期间,当访问外部数据存储器时,这两次有效的PSEN 信号不出现。
EA/VPP:
外部访问允许。欲使中央处理器仅访问外部程序存储器,EA端必须保持低电平。需要注意的是:如果加密位LBI被编程,复位时内部会锁存EA端状态。如EA端为高电平,CPU则执行内部程序存储器中的指令。闪烁存储器编程时,该引脚加上+12V的编程允许电压VPP,当然这必须是该器件是使用12V编程电压VPP。

plc课程设计流水灯

plc课程设计流水灯

plc课程设计流水灯一、教学目标本课程的教学目标是让学生掌握PLC(可编程逻辑控制器)的基本原理和应用,通过设计流水灯的实例,使学生能够理解PLC的工作原理,熟练使用PLC进行简单的控制系统的设计和调试。

知识目标:使学生了解PLC的基本组成、工作原理和编程方法;使学生掌握PLC的输入输出接口、内部寄存器、定时器、计数器等基本组件的功能和应用。

技能目标:培养学生使用PLC编程软件进行程序设计的能力;培养学生进行PLC系统调试和故障排除的能力。

情感态度价值观目标:培养学生对PLC技术的兴趣和热情,使学生认识到PLC技术在现代工业中的重要性和应用前景。

二、教学内容本课程的教学内容主要包括PLC的基本原理、PLC的编程方法和PLC的应用实例。

1.PLC的基本原理:介绍PLC的定义、分类、基本组成、工作原理等。

2.PLC的编程方法:介绍PLC编程语言、编程软件的使用、程序的结构和编写规则等。

3.PLC的应用实例:以设计流水灯为例,讲解PLC控制系统的设计过程,包括输入输出信号的确定、内部组件的使用、程序的编写和调试等。

三、教学方法为了达到本课程的教学目标,将采用以下教学方法:1.讲授法:通过讲解PLC的基本原理、编程方法和应用实例,使学生掌握PLC的基本知识。

2.实验法:通过设计流水灯的实验,使学生能够亲自动手操作PLC,加深对PLC的理解和应用能力。

3.案例分析法:通过分析实际应用中的PLC案例,使学生了解PLC在工业中的具体应用,提高学生的实际应用能力。

四、教学资源为了支持本课程的教学,将准备以下教学资源:1.教材:选用国内权威出版的PLC教材,作为学生学习的主要参考资料。

2.多媒体资料:制作PPT、视频等多媒体资料,帮助学生形象地理解PLC的基本原理和应用。

3.实验设备:准备PLC实验装置,供学生进行实验操作,巩固所学知识。

五、教学评估为了全面、客观地评估学生的学习成果,将采用以下评估方式:1.平时表现:通过观察学生在课堂上的参与度、提问回答、小组讨论等,评估学生的学习态度和理解程度。

数字逻辑课程设计流水灯

数字逻辑课程设计流水灯

数字逻辑课程设计流水灯一、课程目标知识目标:1. 学生能理解数字逻辑基础,掌握基本逻辑门电路的功能与原理;2. 学生能掌握流水灯电路的设计原理,理解其工作流程;3. 学生能运用所学知识,分析并解决流水灯设计中的问题。

技能目标:1. 学生能运用数字逻辑设计工具,如逻辑门电路图,进行简单的电路设计;2. 学生能通过编程或搭建电路,实现流水灯的功能;3. 学生能通过实验操作,培养实际动手能力和问题解决能力。

情感态度价值观目标:1. 学生培养对数字逻辑电路的兴趣,激发学习热情和探究精神;2. 学生在团队协作中,学会沟通与交流,培养合作意识和团队精神;3. 学生通过实践,认识到科技对社会发展的作用,增强科技创新意识。

课程性质:本课程为实践性较强的数字逻辑课程,结合理论教学,注重培养学生的实际操作能力。

学生特点:学生为高中二年级学生,已具备一定的数字逻辑基础,具有较强的学习能力和动手能力。

教学要求:教师需结合学生特点,采用理论教学与实践操作相结合的方式,引导学生主动探究,培养其问题解决能力和团队协作能力。

通过课程目标的实现,使学生在数字逻辑领域取得实际的学习成果。

二、教学内容根据课程目标,教学内容主要包括以下几部分:1. 数字逻辑基础回顾:逻辑门电路原理,重点复习与、或、非门的功能与应用;2. 流水灯原理介绍:讲解流水灯的工作原理,电路设计方法,包括时钟信号、触发器、计数器等组成部分;3. 流水灯电路设计:引导学生运用所学知识,设计简单的流水灯电路;4. 流水灯程序编写:教授如何使用编程软件,编写控制流水灯的程序;5. 实践操作:组织学生进行流水灯电路搭建和程序编写,实现流水灯功能。

教学大纲安排如下:1. 第一周:数字逻辑基础回顾,介绍流水灯原理;2. 第二周:讲解流水灯电路设计方法,示范编写程序;3. 第三周:学生分组设计流水灯电路,进行实践操作;4. 第四周:验收学生作品,总结课程内容,进行课程评价。

教材章节及内容:1. 《数字逻辑》第三章:逻辑门电路;2. 《数字逻辑》第四章:时序逻辑电路;3. 《数字逻辑》实验教程:流水灯电路设计与实践。

电子科技大学 数字逻辑课程设计——流水灯的实现

电子科技大学 数字逻辑课程设计——流水灯的实现

数字逻辑课程设计——流水灯的设计1问题概述:设计一个可以循环移动的流水灯,灯总数为8盏,具体要求如下:1、5亮,其余灭,右移三次后全灭4、8亮,其余灭,左移三次后全灭4、5亮,其余灭,各向两边移三次后全灭1、8亮,其余灭,各向中间移三次后全灭所要求的彩灯电路在某电路板上完成,该电路板能够提供48MHz标准时钟信号,附带有8个共阳的LED管可作为彩灯使用。

2问题分析本装置可以看作一个具有20个状态的无输入、8个输出的Moore型时钟同步状态机,每一个状态对应依次出现的每一种亮灯情况,用5位状态编码表示。

这里构造一个模20的计数器来循环产生这20种状态。

同时对于输入的48MHz的标准时钟信号,需要将其转化为1HZ的信号,此处同样用计数器来实现分频功能。

8个输出分别控制LED的发光情况。

这里使用5-32的译码器实现输出函数的构造。

电路框图如下:这里使用一个5位的状态编码Q4Q3Q2Q1Q0,表示20个状态。

8位的输出函数F7F6F5F4F3F2F1F0分别表示由左至右每一个灯的通断情况。

由于本题中LED灯采用共阳极连接方式,所以当Fn为低电平时,对应的LED灯发光。

本电路状态图如下:本电路的转移/输出表如下:现针对每一部分设计具体电路3设计方案3.11/48MHz分频电路对于48MHz的信号,一秒钟内有4.8*10^7个周期,而所需1Hz信号,每秒只有一个周期。

使输入信号每经过2.4*10^7个周期,输出信号翻转一次方向,便可获得所需的1Hz信号。

可以构造一个模4.8*10^7的计数器用于计数,并使计数器输出的最高位在一秒之内恰好变化一次,且占空比为50%,故采用7片74x163进行级联。

计数范围为:0110 1001 00011100 1010 0000 0000-1001 0110 1110 0011 0101 1111 1111。

这样恰好可以保证最高位输出的信号为1Hz 。

这里采用置位法,电路如下。

流水灯实验报告

流水灯实验报告

流水灯实验报告引言:流水灯实验是电子学基础课程中的一项重要实践,在学习数字电路与逻辑设计的过程中起着至关重要的作用。

通过实验可以加深对数字电路的理解,以及学会使用固定数量的电子元件来构建复杂的电路。

一、实验目的本次实验的目的是利用数字电路中的逻辑门电路和时序电路来实现一个流水灯。

通过流水灯的演示,学生们将能够理解和掌握多位二进制计数的原理以及基本的逻辑门的用途。

二、实验器材与方法1. 实验器材:- 逻辑门芯片(如与门、或门、非门)- 时钟芯片- 集成电路取线板- LED灯- 电压源2. 实验方法:a. 将逻辑门芯片、时钟芯片和LED灯插入集成电路取线板;b. 使用导线连接逻辑门的输入端和输出端;c. 调整电压源,给电路供电;d. 观察LED灯的亮灭情况,检查流水灯的效果。

三、实验过程与结果在实验过程中,我们选择了两种不同的方法来实现流水灯的效果,分别是基于与门电路和基于时钟芯片控制。

1. 基于与门电路的实现a. 首先,我们准备了四个与门芯片、一个非门芯片和一个LED灯。

b. 将四个与门芯片的输出依次与非门芯片的输入相连。

c. 通过控制与门芯片的输入,使得流水灯的效果能够正确实现。

d. 观察LED灯随着输入变化而灯亮的情况,确保实验成功。

2. 基于时钟芯片控制的实现a. 我们使用了一个时钟芯片、一个非门芯片和四个LED灯。

b. 将时钟芯片的输出连接到非门芯片的输入端。

c. 将非门芯片的输出分别连接到四个LED灯。

d. 通过控制时钟芯片的频率,我们可以实现流水灯效果。

通过以上实验,我们成功实现了基于与门电路和基于时钟芯片控制的流水灯效果。

通过这些实验我们可以得出以下结论:结论:1. 利用逻辑门芯片可以实现多位二进制计数,从而实现流水灯的效果;2. 时钟芯片的输入信号能够控制流水灯的亮灭情况,实现了流水灯的自动化效果;3. 实验过程中LED灯的亮灭情况与输入信号的变化是一一对应的,验证了实验的正确性。

电子技术课程设计:流水灯的设计

电子技术课程设计:流水灯的设计

电子技术课程设计报告——流水灯的设计作品40% 报告20%答辩20%平时20%总分100%设计题目:流水灯的设计班级学号:080601113**学生姓名:Jenly_C今天,我?目录1、预备知识 (6)1.1 NE555 电路及应用 (6)1.1.1定时电路 NE555简介 (6)1.1.2 NE555 的特点 (6)1.1.3 NE555 基本应用电路(定时器) (6)1.2 CD40110芯片 (6)1.3 脉冲分配器CD4017 (6)1.3.1 CD4017 引脚属性……………………………………………………………- 4 -1.3.2 CD4017 的逻辑功能…………………………………………………………- 5 -1.3.3 CD4017 的内部结构…………………………………………………………- 5 -2、课程设计题目:流水灯的设计 (6)2.1设计框图 (6)3、课程设计目的及基本要求 (8)3.1设计目的 (6)3.2基本要求 (6)4 原理图及原理说明 (9)4.1功能模块电路原理图 (6)4.2模块工作原理说明 (6)4.2.1 555部分……………………………………………………………………- 9 -4.2.2 CD4017部分………………………………………………………………- 10 -4.2.3 CD40110部分………………………………………………………………- 11 -5、课程设计中涉及的实验仪器、工具以及调试 (12)5.1实验仪器和工具 (6)5.2组装电路及调试 (6)6、课程设计心得体会 (14)7、参考文献 (15)附录一:原理图和布线图 (15)附录二:实物图 (16)附录三:元器件清单 (18)1、预备知识1.1 NE555 电路及应用NE555(TimerIC)大约在1971年由SigneticsCorporation发布,在当时是唯一非常快速且商业化的TimerIC,在往后的30年来非常普遍被使用,且延伸出许多的应用电路,尽管近年来CMOS技术版本的TimerIC如MOTOROlA 的MC1455己被大量的使用,但原规格的NE555依然正常的在市场上供应,尽管新版IC在功能上有部份的改善,但其脚位劲能并没变化,所以到目前都可直接的代用。

流水灯实验原理

流水灯实验原理

流水灯实验原理
流水灯实验原理:
流水灯实验是一种常见的电路实验,利用多个LED灯按照特
定的模式依次亮起并熄灭,形成像流水一样的效果。

实验材料:
- 电路板
- 电源
- 电阻
- 开关
- 透明LED灯
- 铜线
实验步骤:
1. 将电路板连接到电源上,注意确保电源正常工作。

2. 在电路板上安装一个开关,将其与电源连接。

3. 在电路板上设置多个透明LED灯,根据实验需要确定灯的
数量。

4. 通过铜线将LED灯串联在一起,确保灯之间有良好的连接。

5. 在电路中添加适当的电阻来限制电流,防止烧坏LED灯。

实验原理:
1. 当电路通电时,开关打开,电流从电源流入电路板。

2. 电流经过第一个LED灯,使其发亮。

3. 第二个LED灯连接在第一个LED灯的正极和电路板上,当
第一个LED灯发亮时,电流也会流过第二个LED灯,使其发
亮。

4. 按照同样的原理,当前一个LED灯发亮时,电流会依次流过所有的LED灯,使它们依次发亮。

5. 当电流流过最后一个LED灯后,它会返回电路板上的电源负极,然后流回电源。

通过以上步骤,LED灯会按照顺序亮起和熄灭,形成流水灯效果。

这是因为电流按照特定的路径流动,依次经过每个LED灯,使它们一个接一个地发亮。

数字电路课程设计流水灯

数字电路课程设计流水灯

数字电路课程设计流水灯一、教学目标本节课的教学目标是让学生了解和掌握数字电路课程中流水灯的设计与实现。

通过本节课的学习,学生应达到以下目标:1.知识目标:–了解流水灯的基本概念和工作原理。

–掌握数字电路的基本知识和常用逻辑门电路。

–掌握组合逻辑电路和时序逻辑电路的设计方法。

2.技能目标:–能够使用硬件描述语言进行流水灯电路的设计。

–能够通过实验验证流水灯电路的功能。

–能够分析并解决数字电路设计过程中遇到的问题。

3.情感态度价值观目标:–培养学生的创新意识和团队合作精神。

–增强学生对数字电路技术的兴趣和好奇心。

–培养学生对科学研究的严谨态度和自信心。

二、教学内容本节课的教学内容主要包括以下几个部分:1.流水灯的基本概念和工作原理:介绍流水灯的定义、特点和应用领域,讲解流水灯的工作原理和基本组成。

2.数字电路的基本知识和常用逻辑门电路:复习数字电路的基本概念,介绍常用的逻辑门电路,如与门、或门、非门等。

3.组合逻辑电路和时序逻辑电路的设计方法:讲解组合逻辑电路的设计方法,重点介绍编码器、译码器等常见组合逻辑电路;讲解时序逻辑电路的设计方法,重点介绍触发器、计数器等常见时序逻辑电路。

4.流水灯电路的设计与实现:通过实例讲解如何使用硬件描述语言进行流水灯电路的设计,并介绍实验验证的方法。

三、教学方法为了提高学生的学习兴趣和主动性,本节课将采用以下教学方法:1.讲授法:教师讲解流水灯的基本概念、工作原理和设计方法。

2.案例分析法:分析具体的流水灯电路设计实例,让学生更好地理解电路的工作原理和设计方法。

3.实验法:安排实验室实践环节,让学生亲自动手进行流水灯电路的搭建和验证。

4.讨论法:学生进行小组讨论,促进学生之间的交流与合作,培养学生的团队合作精神。

四、教学资源为了支持教学内容和教学方法的实施,丰富学生的学习体验,我们将准备以下教学资源:1.教材:选用合适的数字电路教材,为学生提供系统的理论知识学习。

2.参考书:提供相关的参考书籍,为学生提供更多的学习资料。

数电大作业流水灯

数电大作业流水灯

数电大作业流水灯————————————————————————————————作者:————————————————————————————————日期:综合设计题一.流水灯1.总体思路8位流水灯始终是一亮七暗的,根据这个特点可以考虑采用74LS138译码器的输出来实现流水灯的循环电路。

同时,还可以用74LS161四位二进制计数器来控制74LS138的输入端,从而实现对灯亮灭的控制2.使用元件3—8译码器74LS138,四位二进制计数器74LS161,555定时器,七段数码管译码器驱动器4511芯片,数码管,电容,电阻,非门若干。

3.电路原理框图4.元器件在本电路中的主要功能○1555定时器555 定时器在本电路中的作用主要是产生占空比可调的矩形脉冲从而可以改变灯亮时间,而且它的振荡周期为T=0.7(+2)C。

此处C=0.1uF.由电路参数可知,当R1为10kΩ时,灯亮时间为0.0014s.它的功能主要由两个比较器决定。

两个比较器的输出电压控制RS 触发器和放电管的状态。

在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 C1的同相输入端的电压为 2VCC /3,C2的反相输入端的电压为VCC /3。

若触发输入端 TR 的电压小于VCC/3,则比较器 C2的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。

如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则C 1的输出为 0,C2的输出为 1,可将 RS 触发器置 0,使输出为 0 电平。

电路图如下:○2 74LS161计数器74LS161计数器在本电路中的作用是产生000-111脉冲控制74LS138的A2A1A,依次选通Y-Y7。

产生脉冲序列也可以用74LS191是四位二进制同步加/减计数器,与74LS161相比,它能够实现减计数,此处只需要求产生脉冲序列,而且74LS161是常用的计数器,所以选择74LS161产生脉冲序列。

数电课程设计NE555+CD4017流水灯

数电课程设计NE555+CD4017流水灯

NE555+CD4017流水灯专业班级:电气工程及其自动化(1)班学号:12011247052姓名:指导老师: 日期:2013年6月NE555+CD4017流水灯流水灯实验套件采用3V直流供电,通过调节电位器RP1,可改变流水灯的流动速度。

当阻值增大时,流动速度变慢,反之,则流动速度变快。

也可在电容C2两端加入音频信号,来控制流水灯的流水速度。

一、电路工作原理NE555时基电路组成振荡电路,电源VCC通过电阻R2、RP1向电容C1充电,当充电到一定程度后,2、6脚电压升高,当2、6脚电压升高到2/3VCC后,3脚输出为低电平,7脚对地呈低阻态,电容C1通过电位器和7脚对地放电,当放电至使2、6脚电压低于1/3VCC时,3脚输出为高电平,7脚对地呈高阻态,VCC通过RP1又开始对电容C1充电,周而复始。

通过调节RP1的阻值,可以改变电容充放电的时间常数,从而改变3脚输出脉冲的频率。

从3脚输出振荡脉冲作为CD4017工作的时钟脉冲,在时钟脉冲的作用下,CD4017十进制计数器开始计数,从10个输出端依次输出高电平,不断循环。

10只发光二极管被依次点亮。

二、实验器材三、集成电路简介1.NE555NE555内部等效电路图管脚图1脚:公共地端为负极。

2脚:低触发端TRIG,低于1/3电源电压时即导通。

3脚:输出端OUT,电流可达200mA。

4脚:强制复位端RESET,不用时可与电源正极相连或悬空。

5脚:用来调节比较器的基准电压,简称控制端CONT,不用时可悬空,或通过0.01μF电容器接地。

6脚:高触发端THRES,也称阈值端,高于2/3电源电压时即截止。

7脚:放电端DISCH。

8脚:电源正极VDD。

2.CD4017CD4017 是5 位Johnson 计数器,具有10 个译码输出端,CP、CR、INH 输入端。

时钟输入端的斯密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制。

INH 为低电平时,计数器在时钟上升沿计数;反之,计数功能无效。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字逻辑课程设计
——流水灯的设计
1问题概述:
设计一个可以循环移动的流水灯,灯总数为8盏,具体要求如下:
1、5亮,其余灭,右移三次后全灭
4、8亮,其余灭,左移三次后全灭
4、5亮,其余灭,各向两边移三次后全灭
1、8亮,其余灭,各向中间移三次后全灭
所要求的彩灯电路在某电路板上完成,该电路板能够提供48MHz标准时钟信号,附带有8个共阳的LED管可作为彩灯使用。

2问题分析
本装置可以看作一个具有20个状态的无输入、8个输出的Moore型时钟同步状态机,每一个状态对应依次出现的每一种亮灯情况,用5位状态编码表示。

这里构造一个模20的计数器来循环产生这20种状态。

同时对于输入的48MHz的标准时钟信号,需要将其转化为1HZ的信号,此处同样用计数器来实现分频功能。

8个输出分别控制LED的发光情况。

这里使用5-32的译码器实现输出函数的构造。

电路框图如下:
这里使用一个5位的状态编码Q4Q3Q2Q1Q0,表示20个状态。

8位的输出函数F7F6F5F4F3F2F1F0分别表示由左至右每一个灯的通断情况。

由于本题中LED灯采用共阳极连接方式,所以当Fn为低电平时,对应的LED灯发光。

本电路状态图如下:
本电路的转移/输出表如下:
现针对每一部分设计具体电路
3设计方案
3.11/48MHz分频电路
对于48MHz的信号,一秒钟内有4.8*10^7个周期,而所需1Hz信号,每秒只有一个周期。

使输入信号每经过2.4*10^7个周期,输出信号翻转一次方向,便可获得所需的1Hz信号。

可以构造一个模4.8*10^7的计数器用于计数,并使计数器输出的最高位在一秒之内恰好变化一次,且占空比为50%,故采用7片74x163进行级联。

计数范围为:0110 1001 0001
1100 1010 0000 0000-1001 0110 1110 0011 0101 1111 1111。

这样恰好可以保证最高位输出的信号为1Hz 。

这里采用置位法,电路如下。

3.2 模20计数器。

此处用一个74x163和一个T 触发器来构造模20计数器。

由于没有T 触发器,故用一个JK 触发器74x112代替。

20个状态编码分别为00000~01001,10000~11001。

其中最高位有T 触发器输出,其余4位由74x163输出,74x163设为每计数10次则清零,同时触发T 触发器翻转。

电路图如下所示:
3.3 译码电路
对于由计数器产生的20个状态编码,构造一个5-32译码器,产生最小项,控制灯的开关。

此时可以用5块74x138级联成5-32译码器,根据转移输出表为每一个F 构建对应的最小项组合。

由于译码器的输出是低电平有效,所以当输出通过与非门后,还需要反向后,才能正确驱动共阳极LED 管。

电路如图所示:
CLK
Q0 Q1 Q2 Q3 Q4
将三部分电路级联,便可获得所需电路。

如图所示Q0
Q1 Q2 Q3 Q4。

相关文档
最新文档