(完整)EDA试题及答案,推荐文档
EDA考试题目+答案
简答:1.VHDL中变量与信号的主要区别一、变量是一个局部量,只能在进程和子程序,无延时,立即发生,主要作用是在进程中作为临时的数据存储单元。
从VHDL语句功能和行为仿真来看,信号与变量的差异主要表现在接受信息的方式和信息保持与传递的区域大小上。
(1)如:信号可以设置传输延迟量,而变量则不能;(2)如:信号可作为模块间的信息载体,如在结构体中个进程间传递信息;变量只能作为局部的信息载体,如只能在所定义的进程中有效。
(3) 变量的设置有时只是一种过渡,最后的信息传输和界面间的通信都是靠信号来完成综合后的信号将对应更多的硬件结构。
2.ASIC、FPGA、EDA、ISP的含义ASIC:专用集成电路FPGA:可编程逻辑器件EDA:电子设计自动化ISP:因特网服务提供商3.常用的库的名称(IEEE STD WORK VITAL)5.进程语句的特点(1)进程与进程,或其它并行语句之间的并行性,体现硬件电路并行运行特征。
(2)进程内部的顺序语句具有顺序与并行双重性。
顺序行为体现硬件的逻辑功能,并行行为体现硬件特征。
进程内部使用顺序语句,对一个系统进行算法、行为和逻辑功能进行描述,可以具有高抽象性的特点,可以与具体的硬件没有关联。
这种顺序仅是指语句执行上的顺序(针对于HDL的行为仿真),并不意味着PROCESS语句在综合后所对应的硬件逻辑行为也同样具有顺序性。
VHDL程序无法进行诸如软件语言那样的“单步”调试,因为整个程序是一个整体,不能割裂每一句,只能通过仿真波形来了解程序的问题。
(3)进程有启动与挂起两种状态。
(4)进程与进程,或其它并行语句之间通过信号交流。
(5)时序电路必须由进程中的顺序语句描述,而此顺序语句必须由不完整的条件语句构成。
推荐在一个进程中只描述针对同一时钟的同步时序逻辑,而异步时序逻辑或多时钟逻辑必须由多个进程来表达。
6.实体定义时端口方向OUT与BUFFER有何不同?OUT:输出端口。
定义的通道为单向输出(写)模式,即通过此端口只能将实体内的数据流向外部。
EDA考试题题库及答案
EDA考试题题库及答案一、选择题1.一个项目的输入输出端口是定义在(A)A、实体中;B、结构体中;C、任何位置;D、进程中。
2.QuartusII中编译VHDL源程序时要求(C)A、文件名和实体可以不同名;B、文件名和实体名无关;C、文件名和实体名要相同;D、不确定。
3.VHDL语言中变量定义的位置是(D)A、实体中中任何位置;B、实体中特定位置;C、结构体中任何位置;D、结构体中特定位置。
4.可以不必声明而直接引用的数据类型是(C)A、STD_LOGIC;B、STD_LOGIC_VECTOR;C、BIT;D、ARRAY。
5.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是(C)A、FPGA全称为复杂可编程逻辑器件;B、FPGA是基于乘积项结构的可编程逻辑器件;C、基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D、在Altera公司生产的器件中,MAX7000系列属FPGA结构。
6.下面不属于顺序语句的是(C)A、IF语句;B、LOOP语句;C、PROCESS语句;D、CASE语句。
7.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是(A)A、器件外部特性;B、器件的内部功能;C、器件的综合约束;D、器件外部特性与内部功能。
8.进程中的信号赋值语句,其信号更新是(C)A、按顺序完成;B、比变量更快完成;C、在进程的最后完成;D、都不对。
9.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C)A、仿真器B、综合器C、适配器D、下载器10.VHDL常用的库是(A)A、IEEE;B、STD;C、WORK;D、PACKAGE。
11.在VHDL中,用语句(D)表示clock的下降沿。
A、clock'EVENT;B、clock'EVENT AND clock='1';C、clock='0';D、clock'EVENT AND clock='0'。
(完整word版)EDA技术题库
(完整word版)EDA技术题库EDA技术题库⼀.填空题1. Verilog的基本设计单元是模块。
它是由两部分组成,⼀部分描述接⼝;另⼀部分描述逻辑功能,即定义输⼊是如何影响输出的。
2. ⽤assign描述的语句我们⼀般称之为组合逻辑,并且它们是属于并⾏语句,即于语句的书写次序⽆关。
⽽⽤always描述的语句我们⼀般称之为组合逻辑或时序逻辑,并且它们是属于串⾏语句,即于语句的书写有关。
3.在case语句中⾄少要有⼀条default语句。
4. 已知x=4’b1001,y=4’0110,则x的4位补码为4’b1111,⽽y的4位的补码为4’b0110 。
5. 两个进程之间是并⾏语句。
⽽在Always中的语句则是顺序语句。
6.综合是将⾼层次上描述的电⼦系统转换为低层次上描述的电⼦系统,以便于系统的具体硬件实现。
综合器是能⾃动将⾼层次的表述(系统级、⾏为级)转化为低层次的表述(门级、结构级)的计算机程序7. 设计输⼊的⽅式有原理图、硬件描述语⾔、状态图以及波形图。
8.按照仿真的电路描述级别的不同,HDL仿真器可以完成:系统级仿真,⾏为级仿真,RTL 级仿真,门级(时序)仿真。
按照仿真是否考虑硬件延时分类,可以分为:功能仿真和时序仿真。
仿真器可分为基于元件(逻辑门)仿真器和基于HDL语⾔的仿真器9. IP核是知识产权核或知识产权模块,在EDA技术中具有⼗分重要的地位。
半导体产业的IP定义为⽤于ASIC或FPGA中的预先设计好的电路功能模块。
IP分为软IP、固IP和硬IP。
10.可编程逻辑器件PLD是⼀种通过⽤户编程或配置实现所需逻辑功能的逻辑器件,也就是说⽤户可以根据⾃⼰的需求,通过EDA开发技术对其硬件结构和⼯作⽅式进⾏重构,重新设计其逻辑功能11.两种可编程逻辑结构是基于与-或阵列可编程结构(乘积项逻辑可编程结构)、基于SRAM 查找表的可编程逻辑结构12.PLD按集成度分类:简单PLD、复杂PLD;按结构分类:基于“与-或”阵列结构的器件、基于查找表结构的器件;从编程⼯艺上分类:熔丝型、反熔丝型、EPROM型、EEPROM型、SRAM 型、Flash型13.Verilog的端⼝模式有三种:输⼊端⼝、输出端⼝、双向端⼝,对应的端⼝定义关键词分别是:input、output、inout14.Verilog中常⽤有两种变量:寄存器型变量(⽤reg定义)、⽹线型变量(⽤wire定义)15.Verilog有两种赋值⽅式:阻塞式赋值(=)、⾮阻塞式赋值(<=)16.Verilog有四种循环语句:for语句、repeat语句、while语句、forever语句17.Verilog 的描述风格:RTL描述、数据流描述、⾏为描述、结构描述18.从状态机的信号输出⽅式上分,有Mealy型和Moore型两种状态机;从状态机的描述结构上分,有单过程状态机和多过程状态机;从状态机表达⽅式上分,有符号化状态机和确定状态编码的状态机;从状态机编码⽅式上分,有顺序编码状态机、⼀位热码编码状态机或其他编码⽅式状态机。
EDA考试复习试题及答案
EDA考试复习试题及答案EDA考试复习试题及答案一、选择题:(20分)1.下列是EDA技术应用时涉及的步骤:A.原理图/HDL文本输入;B.适配;C.时序仿真;D.编程下载;E.硬件测试;F.综合请选择合适的项构成基于EDA软件的FPGA/CPLD设计流程:A→___F___→___B__→____C___→D→___E____2.PLD的可编程主要基于A.LUT结构或者B.乘积项结构:请指出下列两种可编程逻辑基于的可编程结构:FPGA基于____A_____CPLD基于____B_____3.在状态机的具体实现时,往往需要针对具体的器件类型来选择合适的状态机编码。
对于A.FPGAB.CPLD两类器件:一位热码状态机编码方式适合于____A____器件;顺序编码状态机编码方式适合于____B____器件;4.下列优化方法中那两种是速度优化方法:____B__、__D__A.资源共享B.流水线C.串行化D.关键路径优化单项选择题:5.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,___D___是错误的。
A.综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件;B.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;C.综合可理解为,将软件描述与给定的'硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。
D.综合是纯软件的转换过程,与器件硬件结构无关;6.嵌套的IF语句,其综合结果可实现___D___。
A.条件相与的逻辑B.条件相或的逻辑C.条件相异或的逻辑D.三态控制电路7.在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。
DA.idata<=“00001111”;B.idata<=b”0000_1111”;C.idata<=X”AB”;D.idata<=B”21”;8.在VHDL语言中,下列对时钟边沿检测描述中,错误的是__D___。
(完整版)EDA历年试卷答案
附表二:武汉工业学院课程考核试题参考答案及评分标准学年:2007-2008一、简答题(25分,共5小题,每小题5分)1.一个完整的VHDL语言程序通常包括以下5个部分:(每条1’)实体说明(Entity):定义电路实体的外观:I/O接口的规格;结构体(Architecture):描述电路的内部功能;配置(Configuration):决定采用哪一个结构体;程序包(Package):定义使用哪些自定义元件库;库(Library):定义元件库。
2.主要从以下几方面进行选择:(每条1’)(1)逻辑单元:CPLD适用于逻辑型系统,FPGA适用于数据型系统。
(2)内部互连资源与连线结构:CPLD适用于实现有限状态机,FPGA适用于实现小型化、集成化。
(3)编程工艺:CPLD采用EPROM结构,内部逻辑一经编程后还会以丢失,FPGA采用RAM型编程,是易失性器件,需与配置芯片配合使用。
(4)规模:中小规模电路设计可采用CPLD,大规模逻辑电路的设计采用FPGA。
(5)封装形式:小规模电路:PLCC,引脚数量大的系统宜选用SMD的TQFP、PQFP、BGA等封装形式。
3. MAX+PLUSII的设计流程如下:(每步1’)(1)设计输入(2)设计检查(3)设计编译(4)时序/功能仿真(5)定时分析注:用流程框图表示也算回答正确。
4. 这个过程可以分为:(1)系统性能描述与行为模拟:设计从系统的功能和性能要求开始。
(2)系统结构分解:将系统分解为若干子系统,子系统又可以再分解为若干功能模块。
(3)产生系统结构模型:(4)描述叶子模块:用数据流进行描述(5)逻辑综合与设计实现:针对给定硬件结构组件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述文件。
5.顺序语句:特点:在程序执行时,按照语句的书写顺序执行,前面的语句的执行结果可能直接影响后面语句的执行。
(1’)用途:主要用于模块的算法部分,用若干顺序语句构成一个进程或描述一个特定的算法或行为。
(完整word版)EDA期末考试试卷及答案
第1页(共5页)班级 学号 姓名密 封 线 内 不 得 答 题一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 BA .适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件B .适配所选定的目标器件可以不属于原综合器指定的目标器件系列C .适配完成后可以利用适配所产生的仿真文件作精确的时序仿真D .通常,EDAL 软件中的综合器可由专业的第三方EDA 公司提供,而适配器则需由FPGA/CPLD 供应商提供2.VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。
A .器件外部特性B .器件的综合约束C .器件外部特性与内部功能D .器件的内部功能 3.下列标识符中, B 是不合法的标识符。
A .State0B .9moonC .Not_Ack_0D .signall4.以下工具中属于FPGA/CPLD 集成化开发工具的是 DA .ModelSimB .Synplify ProC .MA TLABD .QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。
A .立即完成B .按顺序完成C .在进程的最后完成D .都不对6.以下关于CASE 语句描述中错误的是 AA .CASE 语句执行中可以不必选中所列条件名的一条B .除非所有条件句的选择值能完整覆盖CASE 语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>”C .CASE 语句中的选择值只能出现一次D . WHEN 条件句中的选择值或标识符所代表的值必须在表达式的取值范围7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A .STD_LOGIC_ARITH B .STD_LOGIC_1164C .STD_LOGIC_UNSIGNEDD .STD_LOGIC_SIGNED8.基于EDA 软件的FPGA / CPLD 设计流程为:原理图/HDL 文本输入→ A →综合→适配→时序仿真→编程下载→硬件测试。
EDA完整版答案
1. 一个项目的输入输出端口是定义在 A 。
A. 实体中B. 结构体中C. 任何位置D. 进程体2. 描述项目具有逻辑功能的是 B 。
A. 实体B. 结构体C. 配置D. 进程3. 关键字ARCHITECTURE定义的是 A 。
A. 结构体B. 进程C. 实体D. 配置4. MAXPLUSII中编译VHDL源程序时要求 C 。
A. 文件名和实体可以不同名B. 文件名和实体名无关C. 文件名和实体名要相同D. 不确定5. 1987标准的VHDL语言对大小写是 D 。
A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6. VHDL语言中变量定义的位置是 D 。
A. 实体中中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置7. VHDL语言中信号定义的位置是 D 。
A. 实体中任何位置B. 实体中特定位置C. 结构体中任何位置D. 结构体中特定位置8. 变量是局部量可以写在 B 。
A. 实体中B. 进程中C. 线粒体D. 种子体中9. 变量和信号的描述正确的是 A 。
A. 变量赋值号是:=B. 信号赋值号是:=C. 变量赋值号是<=D. 二者没有区别10. 变量和信号的描述正确的是 B 。
A. 变量可以带出进程B. 信号可以带出进程C. 信号不能带出进程D. 二者没有区别11. 关于VHDL数据类型,正确的是 B 。
A. 数据类型不同不能进行运算B. 数据类型相同才能进行运算C. 数据类型相同或相符就可以运算D. 运算与数据类型无关12. 下面数据中属于实数的是 B 。
A. 4.2B. 3C. …1‟D. “11011”13. 下面数据中属于位矢量的是 D 。
A. 4.2B. 3C. …1‟D. “11011”14. 关于VHDL数据类型,正确的是 B 。
A. 用户不能定义子类型B. 用户可以定义子类型C. 用户可以定义任何类型的数据D. 前面三个答案都是错误的15. 可以不必声明而直接引用的数据类型是 C 。
eda期末考试题目及答案
eda期末考试题目及答案一、选择题(每题2分,共20分)1. EDA(电子设计自动化)的主要功能是什么?A. 电路设计B. 电路仿真C. 电路测试D. 所有以上选项2. 在EDA中,HDL指的是什么?A. 高级设计语言B. 硬件描述语言C. 硬件开发语言D. 硬件描述逻辑3. 下列哪个不是EDA工具的主要组成部分?A. 原理图编辑器B. 仿真器C. 编译器D. 汇编器4. 在EDA中,FPGA代表什么?A. 现场可编程门阵列B. 固定可编程门阵列C. 功能可编程门阵列D. 快速可编程门阵列5. 以下哪个是EDA设计流程中的必要步骤?A. 原理图绘制B. 电路板布局C. 焊接D. 电路测试二、填空题(每空2分,共20分)6. 常见的EDA软件有______、______和______。
答案:Cadence, Altium Designer, Mentor Graphics7. 在EDA中,______是一种用于设计和验证数字电路的图形化编程语言。
答案:VHDL8. EDA工具可以帮助工程师进行______和______。
答案:设计优化,性能分析9. 与ASIC相比,FPGA的优点是______和______。
答案:灵活性高,开发周期短10. 在EDA设计中,布局和布线是实现______的关键步骤。
答案:电路板物理结构三、简答题(每题10分,共30分)11. 简述EDA在现代电子设计中的重要性。
答案:EDA在现代电子设计中的重要性体现在它能够提高设计效率,降低成本,缩短产品上市时间,同时提高设计的可靠性和可维护性。
12. 解释什么是仿真,并说明在EDA设计流程中仿真的作用。
答案:仿真是一种模拟实际电路在不同条件下行为的技术。
在EDA 设计流程中,仿真用于验证设计的正确性,预测电路的性能,以及发现潜在的问题,从而在实际制造之前进行必要的修改。
13. 描述FPGA与ASIC在应用上的主要区别。
答案:FPGA是一种可编程的硬件,可以在设计完成后重新配置,适用于需要快速原型开发和灵活设计调整的场景。
EDA试卷及答案
EDA試卷答案一、单项选择题2. 基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入→__A__→综合→适配→___B__→编程下载→硬件测试。
P14A. 功能仿真B. 时序仿真C. 逻辑综合D. 配置3. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL 等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__A___。
P25A. 软IPB. 固IPC. 硬IPD. 全对4. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,__D__是错误的。
P15A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。
B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件。
C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。
D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。
5. 大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过_A__实现其逻辑功能。
P42A. 可编程乘积项逻辑B. 查找表(LUT)C. 输入缓冲D. 输出缓冲6. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述__B__。
P274A. 器件外部特性B. 器件的内部功能C. 器件外部特性与内部功能D. 器件的综合约束7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中_A__不属于面积优化。
P238A. 流水线设计B. 资源共享C. 逻辑优化D. 串行化8. 进程中的信号赋值语句,其信号更新是__B___。
P134A. 立即完成B. 在进程的最后完成C. 按顺序完成D. 都不对9. 不完整的IF语句,其综合结果可实现__A__。
EDA试题题库及参考答案
EDA试题题库及参考答案一、选择题:1.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:___D__A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD 即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx公司生产的器件中,XC9500系列属CPLD 结构2.基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________DA.①②③④B.②①④③C.④③②①D.②④③①3.下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________BA.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法多用于较规范、规模不大的电路设计,和HDL代码描述方法均可以被综合,相得益彰C.原理图输入设计方法无法对电路进行功能描述 D.原理图输入设计方法不适合进行层次化设计4.在VHDL语言中,下列对进程语句的语句结构及语法规则的描述中,不正确的是:_______DA.PROCESS为一无限循环语句B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 C.当前进程中声明的变量不可用于其他进程D.进程说明语句部分、并行语句部分和敏感信号参数表三部分组成 5.对于信号和变量的说法,哪一个是不正确的:_________AA.信号用于作为进程中局部数据存储单元 B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用 D.变量和信号的赋值符号不一样6.进程中的信号赋值语句,其信号更新是___C____。
A.按顺序完成; B.比变量更快完成; C.在进程的最后完成; D.都不对。
7. VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_______DA.IEEE库 B.VITAL库 C.STD库 D.WORK工作库8. VHDL语言是一种结构化设计语言;一个设计实体包括实体与结构体两部分,结构体描述___________。
EDA技术习题集及答案
第一章 EDA概述一、填空题1.2000年推出的Pentium 4微处理器芯片的集成度达——万只晶体管。
2.一般把EDA技术的发展分为——、——和——三个阶段。
3.在EDA发展的——阶段,人们只能借助计算机对电路进行模拟、预测,以及辅助进行集成电路版图编辑、印刷电路板(PcB)布局布线等工作。
4.在EDA发展的——阶段,人们可以将计算机作为单点设计工具,并建立各种单元库,开始用计算机将许多单点工具集成在一起使用。
5.EDA设计流程包括——、——、——和——四个步骤。
6.EDA的设计验证包括——、——和——三个过程。
7.EDA的设计输入主要包括——、——和———。
8.文本输入是指采用——进行电路设计的方式。
9.功能仿真是在设计输入完成之后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为——。
10.时序仿真是在选择了具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为——或——。
11.当前最流行的并成为1EEE标准的硬件描述语言包括————和——。
12.采用PLD进行的数字系统设计,是基于芯片的设计或称之为——的设计。
13.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为——的设计法。
14.EDA工具大致可以分为——、——、———、———和——等五个模块。
15.将硬件描述语吉转化为硬件电路的重要工具软件称为——————。
二、单项选择题1.将设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程称为( )。
①设计输入②设计输出③仿真④综合2.一般把EDA技术的发展分为( )几个阶段。
①2 ②3 ③4 ④53.AHDL属于( )描述语言。
①普通硬件②行为③高级④低级4.vHDL属于( )描述语言。
①普通硬件②行为③高级④低级5.包括设计编译和检查、逻辑优化和综合、适配和分割、布局和布线,生成编程数据文件等操作的过程称为( )。
EDA试题题库及参考答案
一、选择题:(20分)1.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:___D__A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构2.基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________DA.①②③④ B.②①④③C.④③②①D.②④③①3.下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________BA.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法多用于较规范、规模不大的电路设计,和HDL代码描述方法均可以被综合,相得益彰C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法不适合进行层次化设计4.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_______DA.PROCESS为一无限循环语句B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动C.当前进程中声明的变量不可用于其他进程D.进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成5.对于信号和变量的说法,哪一个是不正确的:_________AA.信号用于作为进程中局部数据存储单元B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用D.变量和信号的赋值符号不一样6.进程中的信号赋值语句,其信号更新是___C____。
A.按顺序完成;B.比变量更快完成;C.在进程的最后完成;D.都不对。
7.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_______D A.IEEE库B.VITAL库C.STD库D.WORK工作库8.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。
《EDA技术》试题及答案
一、简答题:(30分,每小题5分)1.CPLD和FPGA有什么差异?在实际应用中各有什么特点?答:差异:(1)CPLD:复杂可编程逻辑器件,FPGA:现场可变成门阵列;(2)CPLD:基于乘积项技术的确定型结构,FPGA:基于查找表技术的统计型结构;(3)CPLD:5500 ~ 50000门,FPGA:1K ~ 10M 门。
实际应用中各自的特点:CPLD适用于逻辑密集型中小规模电路,编程数据不丢失,延迟固定,时序稳定;FPGA 适用于数据密集型大规模电路,需用专用的ROM 进行数据配置,布线灵活,但时序特性不稳定2.简述VHDL语言中端口模式IN,OUT,BUFFER和INOUT 各自的特点及OUT,BUFFER与INOUT的主要区别?答:端口模式中各自的含义与特点为:IN:输入,只读;OUT:输出,只写;BUFFER:带反馈的输出,可读可写;INOUT:双向,可读可写。
OUT,BUFFER,INOUT各自的区别:OUT模式下的信号,在程序中只能作为对象被赋值,不能作为源赋给其他信号;BUFFER模式下的信号,在程序中既可作为对象被赋值,又可作为源赋给其他信号,对象和源是同时发生,是同一个信号;INOUT模式下的信号,双向传输,同样既做对象又可作源,但对象和源不是同一个信号。
3.VHDL中有哪3种数据对象?详细说明它们的功能特点以及使用场所。
3种数据对象为:常量、信号、变量。
各自的功能特点和使用场所:常量:代表电路中一个确定的数,如电源、地等。
全局量,信号变量使用的地方都可用信号:代表电路中的某一条硬件连接线,包括输入、输出端口,信号赋值存在延迟。
全局量,使用场所:architecture、package、entitiy。
变量:代表电路中暂存某些值的载体。
变量赋值不存在延迟。
局部量,使用场所:process、function、procedure。
4.数字频率计功能是测量被测信号的频率,测量频率的基本原理是什么?实现的主要逻辑模块有那些?答:频率计测量频率的基本原理是:1秒时间内代测信号的脉冲个数。
EDA考试题题库及答案
EDA考试题题库及答案一、选择题1.一个项目的输入输出端口是定义在(A)A、实体中;B、结构体中;C、任何位置;D、进程中。
2.QuartusII中编译VHDL源程序时要求(C)A、文件名和实体可以不同名;B、文件名和实体名无关;C、文件名和实体名要相同;D、不确定。
3.VHDL语言中变量定义的位置是(D)A、实体中中任何位置;B、实体中特定位置;C、结构体中任何位置;D、结构体中特定位置。
4.可以不必声明而直接引用的数据类型是(C)A、STD_LOGIC;B、STD_LOGIC_VECTOR;C、BIT;D、ARRAY。
5.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是(C)A、FPGA全称为复杂可编程逻辑器件;B、FPGA是基于乘积项结构的可编程逻辑器件;C、基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D、在Altera公司生产的器件中,MAX7000系列属FPGA结构。
6.下面不属于顺序语句的是(C)A、IF语句;B、LOOP语句;C、PROCESS语句;D、CASE语句。
7.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是(A)A、器件外部特性;B、器件的内部功能;C、器件的综合约束;D、器件外部特性与内部功能。
8.进程中的信号赋值语句,其信号更新是(C)A、按顺序完成;B、比变量更快完成;C、在进程的最后完成;D、都不对。
9.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C)A、仿真器B、综合器C、适配器D、下载器10.VHDL常用的库是(A)A、IEEE;B、STD;C、WORK;D、PACKAGE。
11.在VHDL中,用语句(D)表示clock的下降沿。
A、clock'EVENT;B、clock'EVENT AND clock='1';C、clock='0';D、clock'EVENT AND clock='0'。
eda考试试题和答案
eda考试试题和答案**EDA考试试题和答案**一、单项选择题(每题2分,共20分)1. EDA技术中,“EDA”代表的是以下哪个选项?A. 电子设计自动化B. 电子数据自动化C. 电子设计自动化D. 电子文档自动化答案:A2. 在EDA软件中,用于绘制电路原理图的软件模块通常被称为什么?A. PCB LayoutB. Schematic CaptureC. SimulationD. FPGA Programming答案:B3. 下列哪个不是EDA软件的主要功能?A. 原理图绘制B. 电路仿真C. 版图设计D. 机械设计答案:D4. 在EDA技术中,PCB指的是什么?A. 印刷电路板B. 个人计算机板C. 电源控制板D. 处理器控制板答案:A5. 在EDA软件中,用于进行电路仿真分析的模块通常被称为什么?A. Schematic CaptureB. PCB LayoutC. SimulationD. FPGA Programming答案:C6. EDA技术中,FPGA代表什么?A. 现场可编程逻辑阵列B. 固定逻辑阵列C. 现场可编程门阵列D. 固定可编程逻辑阵列答案:C7. 在EDA技术中,以下哪个不是PCB设计的基本步骤?A. 原理图绘制B. 电路仿真C. 版图设计D. 机械加工答案:D8. 在EDA软件中,用于生成PCB版图的软件模块通常被称为什么?A. Schematic CaptureB. PCB LayoutC. SimulationD. FPGA Programming答案:B9. 在EDA技术中,以下哪个不是电路仿真分析的常用软件?A. PSpiceB. MultisimC. AutoCADD. LTspice答案:C10. EDA技术中,以下哪个不是版图设计中常用的文件格式?A. .dxfB. .gdsC. .pdfD. .drill答案:C二、多项选择题(每题3分,共15分)11. EDA技术中,以下哪些是电路仿真分析时需要考虑的因素?A. 元件模型B. 电源电压C. 机械结构D. 温度变化答案:A, B, D12. 在EDA软件中,以下哪些是版图设计时需要考虑的因素?A. 元件布局B. 走线宽度C. 电源管理D. 信号完整性答案:A, B, D13. EDA技术中,以下哪些是FPGA设计时需要考虑的因素?A. 逻辑门数量B. 时钟频率C. 电源管理D. 散热设计答案:A, B, C, D14. 在EDA技术中,以下哪些是PCB设计时需要考虑的因素?A. 层数B. 板厚C. 元件封装D. 机械加工答案:A, B, C15. EDA技术中,以下哪些是电路设计时需要考虑的因素?A. 信号完整性B. 电源完整性C. 电磁兼容性D. 机械兼容性答案:A, B, C三、判断题(每题2分,共10分)16. EDA技术可以完全替代传统的手工电路设计方法。
eda期末考试题及答案
eda期末考试题及答案EDA期末考试题及答案一、选择题(每题2分,共20分)1. EDA代表的是:A. 电子设计自动化B. 电子数据交换C. 电子文档管理D. 电子设备分析答案:A2. 在EDA中,HDL指的是:A. 高级硬件描述语言B. 硬件描述语言C. 硬件设计语言D. 硬件开发语言答案:B3. 下列哪个不是EDA工具的常见功能?A. 仿真B. 布局C. 布线D. 编程答案:D4. FPGA代表的是:A. 现场可编程门阵列B. 固定可编程门阵列C. 功能可编程门阵列D. 快速可编程门阵列答案:A5. VHDL是一种:A. 编程语言B. 硬件描述语言C. 数据库语言D. 操作系统答案:B6. 以下哪个是EDA软件的典型应用?A. 网页设计B. 游戏开发C. 电子电路设计D. 办公自动化答案:C7. 在EDA设计流程中,综合是指:A. 将设计从逻辑级别转换为门级别B. 将设计从门级别转换为晶体管级别C. 将设计从晶体管级别转换为物理布局D. 将设计从物理布局转换为最终产品答案:A8. 下列哪个是EDA设计中的错误?A. 功能错误B. 语法错误C. 布局错误D. 所有选项都是答案:D9. 以下哪个不是EDA设计中的测试类型?A. 功能测试B. 性能测试C. 压力测试D. 代码测试答案:D10. 在EDA中,后仿真分析是指:A. 在仿真之前进行的分析B. 在仿真之后进行的分析C. 在仿真过程中进行的分析D. 不进行任何分析答案:B二、简答题(每题10分,共30分)1. 请简述EDA在电子设计中的重要性。
答案:EDA(电子设计自动化)是现代电子设计不可或缺的工具,它允许设计师使用软件工具来设计和验证电子系统,从而提高设计效率,减少错误,加快产品上市时间,并且可以设计出更复杂、更高性能的电子系统。
2. 描述一下在EDA设计流程中,仿真的作用是什么?答案:在EDA设计流程中,仿真是一个关键步骤,它允许设计师在实际制造电路之前验证设计的功能和性能。
eda试题及答案
eda试题及答案一、单选题(每题2分,共10分)1. EDA的全称是:A. 电子数据交换B. 电子设计自动化C. 电子文档自动化D. 电子设备自动化答案:B2. 在EDA中,HDL指的是:A. 高级数据语言B. 硬件描述语言C. 硬件设计语言D. 硬件描述逻辑答案:B3. 以下哪个不是EDA软件的主要功能?A. 逻辑综合B. 布局布线C. 编译代码D. 时序分析答案:C4. 在EDA设计流程中,FPGA指的是:A. 现场可编程逻辑阵列B. 固定可编程逻辑阵列C. 现场可编程门阵列D. 固定可编程门阵列答案:C5. 在EDA设计中,仿真测试的目的是:A. 验证设计的正确性B. 优化设计的性能C. 检查设计的安全性D. 以上都是答案:A二、多选题(每题3分,共15分)6. EDA工具通常包括以下哪些功能?A. 原理图捕获B. 波形仿真C. 代码编译D. 硬件调试答案:ABD7. 在EDA设计中,以下哪些是常见的设计阶段?A. 需求分析B. 逻辑设计C. 物理设计D. 测试验证答案:ABCD8. 以下哪些是EDA设计中常用的硬件描述语言?A. VHDLB. VerilogC. C++D. SystemVerilog9. 在EDA设计流程中,以下哪些是布局布线阶段的任务?A. 确定电路的物理布局B. 优化电路的布线C. 进行时序分析D. 编写测试代码答案:ABC10. 以下哪些是FPGA设计的优势?A. 快速原型验证B. 灵活性高C. 成本较低D. 易于集成答案:ABCD三、判断题(每题2分,共10分)11. EDA工具可以完全替代人工进行电路设计。
()答案:×12. HDL语言可以用来描述数字电路的行为。
()答案:√13. FPGA设计不需要进行时序分析。
()答案:×14. EDA设计流程中,仿真测试是最后一步。
()答案:×15. 逻辑综合是将HDL代码转换为门级网表的过程。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
2013年电子系统设计考试试题--考试时间21号56节--公共409
一、填空题
1. Verilog的基本设计单元是模块。
它是由两部分组成,一部分描述接口;另一部分描述逻辑功能,即定义输入是如何影响输出的。
2. 用assign描述的语句我们一般称之为组合逻辑,并且它们是属于并行语句,即于语句的书写次序无关。
而用always描述的语句我们一般称之为组合逻辑或时序逻辑,并且它们是属于串行语句,即于语句的书写有关。
3.在case语句中至少要有一条default语句.
4. 已知x=4’b1001,y=4’0110,则x的4位补码为4’b1111,而y的4位的补码为4’b0110 .
5. 两个进程之间是并行语句。
而在Always中的语句则是顺序语句。
二、简答题
1. 怎样理解在进程语句中,阻塞语句没有延迟这句话?
答:这是因为在进程语句中,有阻塞语句和非阻塞语句这两种,非阻塞语句是有延迟的,而阻塞语句也是有延迟的,这是因为因果系统都有延迟,只是阻塞语句的延迟比非阻塞语句的延迟小于若干个数量级,因此可视为没有延迟。
2.在进程中什么情况下综合为时序电路?什么情况下综合为组合电路?
答:在进程中,只有当敏感信号是边沿触发(即上升沿或下降沿)时,此时综合为时序电路;而在进程中只有当敏感信号是电平沿触发时,此时综合为组合电路。
3.为什么在Verilog语言中,其综合只支持次数确定的循环,而不支持次数不确定的循环?
答:这是因为,在Verilog语言中,它是为电路设计而设计的一门语言,它与高级语言不同,若循环的次数不确定,则会带来不确定的延迟,而这在电路中是不允许存在的,故综合只能支持次数确定的循环,即对于一个具体的芯片,其延迟只是一个定值。
4.Verilog HDL语言进行电路设计方法有哪几种?
答:①自上而下的设计方法(Top-down);②自下而上的设计方法(Bottom-Up)
③综合设计的方法。
5.specparam语句和parameter语句在参数说明方面不同之处是什么?
答:1.specparam语句只能在延时的格式说明块(specify)中出现,而parameter语句则不能再延时说明块内出现。
2.由specparam语句进行定义的参数只能是延时参数,而由parameter语句定义的参数可以是任何数据类型的参数。
3.由specparam语句定义的延时参数只能在延时说明块内使用,而由parameter语句定义的参数则可以在模块内(该parameter语句之后)的任何位置说明。
三、选择题:
1、下列标示符哪些是合法的(B)
A、$time
B、_date
C、8sum
D、mux#
2、如果线网类型变量说明后未赋值,起缺省值是(D) A、x B、1 C、0 D、z
3、现网中的值被解释为无符号数。
在连续赋值语句中,assign addr[3:0]=-3;addr被赋予的值是(A)
A、4’b1101
B、4’b0011
C、4’bxx11
D、4’bzz11
4、reg[7:0] mema[255:0]正确的赋值是(A)
A、mema[5]=3’ d0,
B、8’ d0;
C、1’ b1;
D、mema[5][3:0]=4’ d1
5、在code模块中参数定义如下,请问top模块中d1模块delay1、delay2的值是( D) module code(x,y); module top; paramee delay1=1,delay2=1; …………….
……………………………… code #(1,5) d1(x1,y1); endmodule endmodule A、(1,1) B、(5,5) C、(5,1) D、(1,5)
6、“a=4’ b11001,b=4’ bx110”选出正确的运算结果(B) A、a&b=0 B、a&&b=1 C、b&a=x D、b&&a=x
7、时间尺度定义为timescale 10ns/100ps,选择正确答案(C)
A、时间精度10ns
B、时间单位100ps
C、时间精度100ps
D、时间精度不确定
8、若a=9,执行$display(“current value=%0b,a=%0d”,a,a)正确显示为(B) A、current value=1001,a=09 B、current vale=1001,a=9
C、1001,9
D、current vale=00…001001,a=9
9、aways begin #5 clk=0;#10 clk=~clk;end产生的波形(A) A、占空比1/3 B、clk=1 C、clk=0 D、周期为10
10、在Verilog中定义了宏名 `define sum a+b+c 下面宏名引用正确的是(A) A、out=’sum+d; B、out=sum+d; C、out=`sum+d; D、都正确
11. 下面哪个是可以用verilog语言进行描述,而不能用VHDL语言进行描述的级别?(A)
(A) 开关级 (B)门电路级 (C) 体系结构级 (D) 寄存器传输级
12.在verilog中,下列语句哪个不是分支语句?(D)
(A) if-else (B) case (C) casez (D) repeat
13.下列哪些Verilog的基本门级元件是多输出(D)
(A) nand (B) nor (C) and (D) not
14.V erilog连线类型的驱动强度说明被省略时,则默认的输出驱动强度为(B)
(A) supply (B) strong (C) pull (D) weak
15.元件实例语句“notif1 #(1:3:4,2:3:4,1:2:4) U1(out,in,ctrl);”中截至延迟的典型值为(B)
(A) 1 (B) 2 (C) 3 (D) 4
16.已知“a =1b’1; b=3b'001;”那么{a,b}=(C)
(A) 4b'0011 (B) 3b'001 (C) 4b'1001 (D) 3b'101
17.根据调用子模块的不同抽象级别,模块的结构描述可以分为(ABC)
(A) 模块级 (B)门级 (C) 开关级 (D) 寄存器级
18.在verilog语言中,a=4b'1011,那么 &a=(D)
(A) 4b'1011 (B) 4b'1111 (C) 1b'1 (D) 1b'0
19.在verilog语言中整型数据与(C)位寄存器数据在实际意义上是相同的。
(A) 8 (B) 16 (C) 32 (D) 64
四、程序编写题
1.试用verilog语言,利用内置基本门级元件,采用结构描述方式生成如图所示的电路
2. 试用verilog语言描述:图示为一个4位移位寄存器,是由四个D触发器(分别设为U1,U2,U3,U4)构成的。
其中seri_in是这个移位寄存器的串行输入;clk为移位时脉冲输入;clr为清零控制信号输入;Q[1]~Q[3]则为移位寄存器的并行输出。