数电实验: 设计红绿灯

合集下载

数字电路第4次实验 路口交通灯设计

数字电路第4次实验 路口交通灯设计
第8章 常用组合逻辑电路设计
1
实验六 交通灯控制系统
第8章 常用组合逻辑电路设计
2
10.1 交通灯控制系统
交通灯控制系统是一个比较简单的数字系统,它是通过 控制交通道路的通行和等待时间来实现交通控制的,因此控 制系统的主要功能是实现红、绿灯状态控制并显示当前状态 持续的时间。这里设计的一个交通控制系统具有紧急状态、 测试状态和正常工作三种状态。紧急状态用于处理一些突发 的状态,如戒严等,此时双向路口禁止通行;测试状态可用 于检测信号灯和数码管的硬件是否正常;正常工作状态则用 于双向路口的信号灯控制。
第8章 常用组合逻辑电路设计
21
图10.6是双向路口控制模块的功能仿真波形,从图中可 以看出输入信号中主干道的红、绿、黄灯时间分别为6秒、9 秒和2秒,因此次干道的红、绿、黄灯时间应分别为11秒、4 秒、2秒,从图中可以看出复位信号由低变高后,主干道信号 灯prim_ryg_light=3'b001,即绿灯状态,次干道信号灯 seco_ryg_light=3'b100,即红灯状态。次干道的红灯时间(11秒) 是主干道的绿灯(9秒)和黄灯(2秒)时间之和,主干道的红灯时 间(6秒)是次干道的绿灯(4秒)和黄灯(2秒)时间之和。
第8章 常用组合逻辑电路设计
13
图10.4所示是紧急状态控制信号emergency变化为1后电路 的工作状态,wait_time=8'h88(图中显示的符号是十进制数, 为-120),信号灯ryg_light=110,即红、黄灯同时亮的状态。 当emergency无效后,输出信号又继续之前的工作状态。
第8章 常用组合逻辑电路设计
9
图10.1 主干道复位仿真波形
第8章 常用组合逻辑电路设计

【精品】数电课程设计(红绿交通灯的设计)

【精品】数电课程设计(红绿交通灯的设计)

【精品】数电课程设计(红绿交通灯的设计)一、引言由于时代发展,交通工具发展越来越快,如果管理没有有效的管理,驾驶者会面临很大的安全隐患,因此,在交通拥堵的街道上,红绿信号灯的出现被越来越多的地方使用。

因此,我们必须给予交通灯制造行业有意义的关注。

红绿交通灯是许多国家在管理交通系统时非常重要的设施之一,它是交通信号管理的重要组成部分。

它是一种由电子技术实现的交通管理系统,它实现了全自动和自动控制,具有良好的节约能源,环境友好和安全性能,在管理和控制路行车上有着重要的作用。

二、设计原理红绿交通灯系统是一种由计算机及其相关的设备组成的安全、节能、环保的管理系统,其关键在于检测和发出信号,即检测和发出红灯和绿灯信号。

红绿信号灯的设计基于两个核心原理,即计算机技术和电子技术。

它采用单片机及其仿真器来实现控制,交通灯采用电子器件来实现,即通过控制单片机,实现检测和发出红灯和绿灯信号。

三、硬件系统本系统的硬件由两部分组成:单片机和电子技术。

1、单片机:单片机是一种微处理器,是一款精简的微控制系统,可以自动执行有限的运算步骤,起到控制作用,并实现检测和发出红色和绿色信号的功能。

2、电子技术:电子技术是红绿交通灯的控制元素,可以用一个红灯和一个绿灯来指示车辆进入各区域,或者可以更多的增加发射器和接收器,实现更复杂的控制功能。

软件系统主要由程序和参数两个部分组成。

其中,程序可以分为两部分:用户端的界面程序和交通灯的内部控制程序。

用户界面程序主要设置控制参数,如控制时间、车辆行驶路线等;内部程序用于检测和发出红绿信号,实现自动控制。

参数主要用于设置不同参数,实现不同地区的交通灯调整,如车辆进入红灯区域时的等待时间,同一个路口进入红灯区域的车辆等等。

五、结论。

数字电子技术红绿灯课程设计

数字电子技术红绿灯课程设计

数字电子技术红绿灯课程设计数字电子技术是一种基于数字电路技术的电子技术,其应用范围非常广泛,涉及到诸如计算机硬件、通讯网络等多个领域。

在数字电子技术中,红绿灯控制技术是一项非常基础且重要的技术,因为它能够直接影响到道路交通的安全和畅通。

因此,红绿灯课程设计也成为数字电子技术教学中不可或缺的一部分。

一、红绿灯技术原理为了更好地进行红绿灯课程设计,我们需要先了解红绿灯技术的原理。

红绿灯技术是通过控制不同颜色的信号灯进行交通指引的,通常包含三种状态,即红色、黄色和绿色。

不同颜色的灯表示不同的交通指向,例如绿色表示通行、红色表示停车、黄色表示减速或等待等。

为了控制红绿灯的变化,通常使用定时器或传感器实现灯光的切换,控制汽车和行人通行的顺序。

二、数字电子技术红绿灯课程设计在数字电子技术红绿灯课程设计中,我们需要了解一些重要的概念和原理,包括数字电路的基本元件、信号的采集、传输和处理技术等。

以下是数字电子技术红绿灯课程设计的主要内容:1.数字电子技术基础:学习数字电路的基本元件和组成方式,包括逻辑门、触发器、计数器、多路选择器等。

2.传感器技术:学习传感器采集信号的原理和应用,包括光电传感器、声波传感器、温度传感器、压力传感器等。

3.通信技术:学习数字电路的数据传输方式及其应用,包括串行通信、并行通信、脉冲编码调制(PCM)等。

4.计时器设计:学习计时器的原理和设计方法,掌握计时器的输入和输出接口。

5.控制信号产生技术:学习控制信号产生的原理和应用,包括触发器、通用逻辑门等。

基于以上的基础知识,我们能够完成数字电子技术红绿灯课程的设计。

下面是具体的研究步骤:1.红绿灯控制原理和方法:首先需要了解红绿灯控制原理和方法,包括定时器、传感器等控制方式。

2.电路模拟仿真实验:为了深入理解红绿灯控制的原理和方法,需要进行电路模拟仿真实验,可以使用SPICE软件等进行仿真模拟。

3.红绿灯硬件设计:根据电路仿真实验的结果,进行红绿灯硬件设计,包括电路图设计、PCB设计、元器件选择等。

数字电路红绿灯课程设计实验报告(改)

数字电路红绿灯课程设计实验报告(改)

《数字逻辑电路设计》课程设计报告题目:红绿灯控制器指导教师:***设计人员:李璧江学号:**********班级:电信132日期:2015.5.7目录第I 条学习目的 (3)节1.01 设计起源 (3)节 1.02 设计目的 (3)第II 条设计任务书及基本要求 (3)节 2.01 基本要求 (3)节 2.02 设计任务书 (4)节 2.03 设计的一般方法及提示 (5)第III 条设计框图及整机概述 (5)第IV 条各单元电路的设计方案及原理说明 (6)节 4.01 计数器的连接和置数 (6)节 4.02 计数器的选通和转换处理 (8)节 4.03 交通灯的连接 (10)第V 条调试过程及结果分析 (11)节 5.01 计数器调试 (11)节 5.02 数码管显示调试 (11)节 5.03数码管的连接 (11)节 5.04 计数器的选通和转换调试 (12)第VI 条设计丶安装及调试中的体会 (14)第VII 条对本次课程设计的意见及建议 (15)第VIII 条附录 (16)节8.01 元器件清单 (16)节8.02 整机逻辑电路图 (16)第 I 条学习目的节 1.01设计起源数字电路发展到今天,其设计思想,方法,手段的变化。

节 1.02设计目的1、掌握数字系统的分析和设计方法;2、能够熟练地、合理地选用集成电路器件;提高电路布局、布线及检查和排除故障的能力;3、培养书写综合实验报告的能力。

第 II 条设计任务书及基本要求节 2.01基本要求1、根据设计任务要求,从选择设计方案开始,首先按单元电路进行设计,选择合适的元器件,最后画出总原理图。

2、通过仿真、电路调试,能实现相应的计时功能、逻辑功能,直至实现任务要求的全部功能。

对电路要求布局合理、走线清楚、工作可靠。

3、写出完整的课程设计报告,其中包括调试中出现异常现象的分析和讨论。

节 2.02设计任务书任务:红绿灯控制器基本设计要求:仿真实现,设计一个红绿灯控制器控制器设计应具有以下功能(1)东西方向绿灯亮,南北方向红灯亮。

数字电路课程设计——交通灯实验报告

数字电路课程设计——交通灯实验报告

一、课程设计题目交通灯控制系统设计二、设计的任务和要求1)在严格具有主、支干道的十字路口,设计一个交通灯自动控制装置。

要求:在十字路口的两个方向上各设一组红黄绿灯;顺序无要求;2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间。

红(主:R,支:r)绿(主:G,支:g)黄(主:Y,支:y)三种颜色灯,由四种状态自动循环构成(Gr→Yr→Rg→Ry);并要求不同状态历时分别为:Gr:30秒,Rg:20秒,Yr,Ry:5秒。

三、系统总体设计方案及系统框图方案一:芯片设计(1)芯片功能及分配交通灯控制系统主要由控制器、定时器、译码器、数码管和秒脉冲信号发生器等器件组成。

秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

1)系统的计时器是由74LS161组成,其中应因为绿灯时间为30秒,所以绿灯定时器由两块74LS161级联组成.74LS161是4位二进制同步计数器,它具有同步清零,同步置数的功能。

2)系统的主控制电路是由74LS74组成,它是整个系统的核心,控制信号灯的工作状态。

3)系统的译码器部分是由一块74LS48组成,它的主要任务是将控制器的输出翻译成6个信号灯的工作状态。

整个设计共由以上三部分组成。

(2)设计原理:1)总体方案如图:2)各单元电路的设计:1. 秒脉冲信号发生器时钟信号产生电路主要由555定时器组成震荡器,产生稳定的脉冲信号,送到状态产生电路,状态产生电路根据需要产生秒脉冲,电路图如下图所示:2.主控制电路D1=Q1/Q2+/Q1Q2(/表示取非) D2=/Q2 CLK=CO2 CLR和PR均置1.主控制电路可产生00---->01---->10---->11----00控制信号。

3.红绿灯显示电路电路图如图:4. 计时部分电路A ) 计时器状态产生模块:设计要求对不同的状态维持的时间不同,限于实验室器材只提供74LS161.因要以十进制输出,且有一些状态维持时间超过10秒,则必须用两个74LS161分别产生个位和十位的数字信号。

红绿灯设计实验实训报告

红绿灯设计实验实训报告

一、实验背景随着我国城市化进程的加快,交通问题日益突出,十字路口作为城市交通的重要组成部分,其红绿灯的设计与运行对交通秩序和效率具有重要影响。

为了提高学生的实际操作能力和创新能力,本次实验实训旨在让学生掌握红绿灯设计的基本原理和方法,并通过实际操作,设计并实现一个简易的红绿灯控制系统。

二、实验目的1. 理解红绿灯控制系统的基本原理和组成。

2. 掌握数字电路设计的基本方法和技巧。

3. 培养学生的实际操作能力和创新能力。

4. 提高学生的团队合作意识和沟通能力。

三、实验原理红绿灯控制系统主要由以下几个部分组成:1. 控制电路:负责产生控制信号,控制红绿灯的亮灭。

2. 显示电路:用于显示红绿灯的状态。

3. 时序电路:负责控制红绿灯的亮灭时间。

本次实验采用以下原理:1. 控制电路:利用74LS161级联实现模60的计数,并用74LS138进行状态译码,通过组合逻辑门电路实现控制信号的产生。

2. 显示电路:使用4个数码管显示时间,其中2个显示东西方向时间,另2个显示南北方向时间。

3. 时序电路:利用555定时器产生定时信号,控制红绿灯的亮灭时间。

四、实验内容1. 设计红绿灯控制电路:根据实验原理,设计控制电路,实现红绿灯的亮灭控制。

2. 设计显示电路:设计显示电路,实现红绿灯状态的实时显示。

3. 设计时序电路:设计时序电路,控制红绿灯的亮灭时间。

4. 硬件搭建:根据电路设计,搭建实验电路。

5. 调试与测试:对实验电路进行调试与测试,确保红绿灯控制系统正常运行。

五、实验步骤1. 分析实验原理,确定电路设计思路。

2. 设计控制电路,选择合适的集成电路和元件。

3. 设计显示电路,确定数码管和驱动电路。

4. 设计时序电路,选择合适的定时器和元件。

5. 根据电路设计,绘制电路图。

6. 搭建实验电路,连接各个元件。

7. 调试电路,确保红绿灯控制系统正常运行。

8. 测试电路,验证红绿灯控制系统的功能。

六、实验结果与分析1. 控制电路:通过设计,实现了红绿灯的亮灭控制,满足了实验要求。

数电实验——交通灯

数电实验——交通灯

实验8 综合实验——交通控制灯一、实验目的设计一个电路,用于十字路口的车辆控制的交通灯,自己可以随意发挥,按照实际情况设计一个可以用于十字路口的交通灯。

用仿真软件进行仿真。

二、实验仪器74LS192,74LS47,74LS00,74LS11,74LS32,74LS04,74LS10,74 LS161,以及数码管。

三、实验原理设两个路口分别为甲、乙路口,根据实际情况,则应该有以下四种情况:(1)甲路口红灯亮,乙路口绿灯亮。

(2)甲路口红灯继续亮,乙路口黄灯亮。

(3)甲路口绿灯亮,乙路口红灯亮。

(4)甲路口黄灯亮,乙路口红灯继续亮。

经过分析,决定用74LS192来进行减法计数,然后用74LS161的计数输出来控制数码管的CA端,即控制数码管是否点亮。

74LS161的计数脉冲由74LS192的输出通过一系列逻辑门电路来控制,使其每5秒输出一个脉冲。

然后通过74LS161的输出通过逻辑门电路与数码管相连,来控制哪个数码管亮以及亮多长时间。

具体情况如下表:注意:74LS161设计的为12进制计数器。

74LS161的CLK 端的输入为:CLK=A ⊕C+B+D (D,C,B,A 分别为74LS192的个位片的输出端口,顺序为由高到低) 以下为个数码管的CA 端输入:甲路口红灯为:JR=)()(D B D C+∙+甲路口黄灯为:JY=ABD 甲路口绿灯为:JB=DB BD A CB ∙∙乙路口红灯为:YR= ABD+DB BD AC B ∙∙乙路口黄灯为:YY=)()(A B D C D++∙+乙路口绿灯为:YB=C B A 设计出来的实验电路图:4L S 32N四、 实验内容按照自己所想的,在multisim中连接电路,经过好多次的尝试之后,有了些眉目了,连接好电路之后,终于可以按照自己的想法实现电路的功能了。

不过在连接过程中发现,当数码管的数量增加时,电路的处理速度明显的变慢,而且还出现显示不稳定,不该显示的数码管有些跳动的现象。

数电实验: 设计红绿灯

数电实验:   设计红绿灯

设计流程 一、观察并记录红绿灯的规则 二、列写出真值表 三、设计电路
一、观察并记录红绿灯的规则
通过观察,我们得出几个数据:
车行道:红灯22S

绿灯64S

黄灯2S
人行道:绿灯20S

黄灯2S
Hale Waihona Puke 红灯66S 所以,我们设计电路时,以2秒一闪为一个单位
运用逻辑转化仪得出 逻辑关系式
绿灯20s20s黄灯黄灯2s2s红灯红灯66s66s所以我们设计电路时以所以我们设计电路时以22秒一闪为一个单位秒一闪为一个单位二列写出真值表二列写出真值表利用利用multisimmultisim软件将真值表转化为逻辑电路软件将真值表转化为逻辑电路谢谢观赏谢谢观赏观察红绿灯
数电实验: 设计红绿灯 电路
二、列写出真值表
三、设计电路
利用multisim软件将真值表转化为逻辑电路
车行道黄灯
车行道绿灯
人行道绿灯
人行道黄灯
人行道红灯
运用逻辑转化仪,得出电路

谢谢观赏
项目分工
观察红绿灯: 处理数据: 电路设计: PPT制作 设计报告: 演讲:

数字电路实验-红绿灯

数字电路实验-红绿灯

数字电路实验一设计思路:利用EMP240-CPLD开发板完成实验红绿灯电路。

首先观察开发板上面12个LED灯,上下左右每个方向各有三个灯,上标有R,G,Y,我设计对应红绿灯的颜色为红,绿,黄。

首先将开发板提供的12MHz转换为1Hz的时钟信号,然后利用时钟信号上升沿来控制statedev与state变量,达到计算时间的目的,根据state变量所对应的时间来控制LED灯的状态,以达到控制红绿灯的目的。

程序设计:library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all; \\导入库文件entity TL isport(clk: in std_logic;\\输入时钟信号为标准逻辑led: out std_logic_vector (11 downto 0) \\LED的输出为标准逻辑,共12个);end entity;architecture rtl of TL issignal clk1s: std_logic;\\设置信号clk1s为标准逻辑beginprocess(clk)variable clkdev: integer range 0 to 11999999;\\ 设置clkdev的范围为0到11999999beginif clk'event and clk='1' then \\如果时钟信号发生并且为高电平,即上升沿clkdev:=clkdev+1; \\然后clkdev的值加1if clkdev=11999999 then \\如果clkdev的值为11999999的时候clk1s<='1'; \\clk1s的值为1elseclk1s<='0';\\当clkdev的值为其他值的时候clk1s为0 end if;end if;end process;\\该进程模块结束process(clk1s)variable state: integer range 0 to 100; \\设置state整数变量范围为0到100beginif clk1s'event and clk1s='1' thenstate:=state+1;if state=100 then\\当state的值为100时,即100秒后state复位state:=0;else \\state为其他值的时候保持原值state:=state;end if;end if;if state>=0 and state<40 then\\前40秒内LED灯的信号为“101110101110”led<="101110101110";else if state>=40 and state<50 then\\40到50秒LED灯的信号为“011110011110”led<="011110011110";else if state>=50 and state<90 then\\50到90秒LED灯的信号为“110101110101”led<="110101110101";else if state>=90 and state<101 then\\90到100秒LED灯的信号为“110011110011”led<="110011110011";end if;end if;end if;end if;end process;\\该进程模块结束end rtl; \\ rtl程序结束。

数字电路基础红绿灯实验报告

数字电路基础红绿灯实验报告

数字电路基础红绿灯实验报告数字逻辑电路红绿灯课程设计实验报告题目:红绿灯控制器指导教师:莫琳设计人员(学号):谭晨曦(1107200144)班级:电信类111班日期:2013年5月25日目录一.设计任务书二.设计框图及整机概述三.各单元电路的设计方案及原理说明四.调试过程及结果分析五.设计、安装及调试中的体会六.对本次课程设计的意见及建议七.附录(包括:整机逻辑电路图和元器件清单)一、设计任务书基本设计要求:EWB仿真实现,设计一个红绿灯控制器控制器设计应具有以下功能:(1)东西方向绿灯亮,南北方向红灯亮。

. (2)东西方向黄灯亮,南北方向红灯亮。

(3)东西方向红灯亮,南北方向绿灯亮。

(4 ) 东西方向红灯亮,南北方向黄灯亮。

要求有时间显示的(顺数、逆数皆可)时间自定(按学号:红灯时间(学号)=绿灯时间+黄灯时间(≥5)。

二.设计框图及整机概述设计框图:电源计数器数码显示脉冲控制红绿灯红绿灯控制器设计框图整机概述:红绿灯控制器由电源模块、脉冲模块、计数模块、控制模块、红绿灯模块以及数码显示模块共六部分组成。

电源模块为整机提供电源;脉冲模块提供给计数器作为时钟信号;计数模块为44进制计数器,分别输出到数码显示模块和控制模块作为数码显示信号和控制信号;数码显示模块接收来自计数器模块的信号,表明计数器工作状态;控制模块接收计数器模块输出的控制信号从而控制红绿灯的亮灭;红绿灯模块的亮灭情况见设计任务书。

三、各单元电路的设计方案及原理说明电源模块:外接,略。

脉冲模块:外接,略。

计数模块:计数模块内两个计数器(74LS160)CLK外接时钟脉冲信号,A、 B、C、D接地,LOAD接高电平。

低位计数器(左)的进位信号通过RCO输送至高位计数器(右),使高位计数器仅在有进位时工作。

因为我的学号为44,按照任务书要求,再通过将两个计数器的Qc分别接至与非门,以与非门的输出信号作为两个计数器的置零信号,至此,一个四十四进制计数器完成。

设计红绿灯的实验报告

设计红绿灯的实验报告

设计红绿灯的实验报告1. 引言红绿灯是城市交通中非常重要的交通信号控制设备之一。

它通过红、黄、绿三种颜色灯光的不同组合,指挥车辆和行人在道路上的行进。

本实验旨在设计一个基本的红绿灯系统,并通过控制设备和电路来实现红绿灯的交替显示。

2. 实验方法2.1 材料准备- Arduino控制板- 红绿灯模块- 面包板及杜邦线- 电源线- 电阻、电容等元器件2.2 硬件连接首先,将Arduino控制板通过杜邦线与电脑连接,然后将红绿灯模块连接到控制板上的数字输出引脚。

具体的硬件连接方式如下:- 红灯接口:连接到Arduino控制板的数字输出引脚13- 黄灯接口:连接到Arduino控制板的数字输出引脚12- 绿灯接口:连接到Arduino控制板的数字输出引脚112.3 软件编程使用Arduino开发环境进行编程,编写代码实现红绿灯的交替显示。

代码应包括以下步骤:1. 设置引脚模式:将数字引脚13、12、11设置为输出模式。

2. 控制红灯亮起:将数字引脚13输出高电平,使红灯点亮。

3. 控制黄灯熄灭:将数字引脚12输出低电平,使黄灯熄灭。

4. 控制绿灯熄灭:将数字引脚11输出低电平,使绿灯熄灭。

5. 控制红灯熄灭:将数字引脚13输出低电平,使红灯熄灭。

6. 控制黄灯亮起:将数字引脚12输出高电平,使黄灯点亮。

7. 控制绿灯熄灭:将数字引脚11输出低电平,使绿灯熄灭。

8. 控制红灯熄灭:将数字引脚13输出低电平,使红灯熄灭。

9. 控制黄灯熄灭:将数字引脚12输出低电平,使黄灯熄灭。

10. 控制绿灯亮起:将数字引脚11输出高电平,使绿灯点亮。

3. 实验结果与分析在完成硬件连接和编写代码后,将程序上传到Arduino控制板上。

经过实验,观察到在运行程序的过程中,红、黄、绿三种颜色的灯光按照交通信号灯的规律进行交替显示,实现了红绿灯的基本功能。

4. 实验总结通过本次实验,我们成功设计出了一个基本的红绿灯系统,并通过控制设备和电路实现了红绿灯的交替显示。

数电课程设计(红绿交通灯的设计)

数电课程设计(红绿交通灯的设计)

西安邮电学院数字电路课程设计报告书——交通灯控制器学院名称:电子工程学院学生姓名:XXX(XX号)专业名称:电子信息工程班级:电子XXXX实习时间:2010年12月6日——2010年12月17日红绿灯交通信号系统一、红绿灯交通信号系统功能概述红绿灯交通信号系统为模拟实际的十字路口交通信号灯。

外部硬件电路包括:两组红黄绿灯(配合十字路口的双向指挥控制)、一组手动与自动控制开关(针对交通警察指挥交通控制使用)、倒计时显示器(显示允许通行或禁止通行时间)。

二、红绿灯交通信号系统红绿灯交通信号系统外观示意图如图1所示。

图1 十字路口交通灯模拟图三、任务和要求1.在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一方向是绿灯、黄灯、红灯;另一方向是红灯、绿灯、黄灯。

2.设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间是20s ,另一个方向上绿灯亮的时间是30s ,黄灯亮的的时间都是5s 。

3.选做:当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止。

当特殊情况结束后,按下自动控制开关,恢复正常状态。

4.选做:用两组数码管,实现双向倒计时显示。

四、设计思路在实际情况下,一个十字路有一个主干道和一个支干道。

主干道的车流量较大,即要求主干道绿灯亮的时间长,支干道正好相反。

五、总体方案简单原理如下:由555时钟信号发生电路产生稳定的“秒”脉冲信号,确保整个电路装置计时工作稳定进行。

用两片74LS161作为计数器,将其输出端通过非门与74LS48相连后,把74LS48输出端连到数码管上,实现倒计时;用另外一片74LS161作为状态控制器,控制状态变量Q2Q1的变化,即实现变化:00-01-10-11;用计数器的RCO进位端作为状态控制器的脉冲;利用状态控制器对计数器实现至数操作,从而实现模30,模20,模5的转换;六个灯与由状态控制器控制的74LS74的输出端通过门电路直接相连。

数字电路课程设计(红绿灯)

数字电路课程设计(红绿灯)

数字电路课程设计(一)——红绿灯设计方案总结报告指导教师:设计人员:班级:电信081一、日期:设计任务书1、题目:红绿灯控制器2、设计要求:设计一个红绿灯控制器设计应具有以下功能基本设计要求:设计一个红绿灯控制器控制器设计应具有以下功能(1)东西方向绿灯亮,南北方向红灯亮。

.(2)东西方向黄灯亮,南北方向红灯亮。

(3)东西方向红灯亮,南北方向绿灯亮。

(4 ) 东西方向红灯亮,南北方向黄灯亮。

要求有时间显示(顺数、逆数皆可),时间自定。

(大于15秒以上)二、设计框图及整机概述本课程设计在继承了原有的红绿灯的基本功能的基础上,有对其功能进行了很大的完善,其中主要包括:(1)可以对大小路口的绿灯及黄灯任意置数。

(2)在倒计时3~0秒期间,当时正在点亮的绿灯或黄灯会进行闪烁。

正是由于拥有了这两个功能,使得电路稍显复杂,现在将分别讲解其设计思路。

本电路大体上可以分为四个部分,即:赋值电路部分、控灯闪烁部分、控制部分和核心计数部分。

其中,赋值电路部分占据了大量的空间和芯片,其主要原理是数据选择。

控灯闪烁部分的芯片较少,也没有占用太多空间,控制部分分布在系统的各个部分,可以说是系统的灵魂,它对整个系统进行着控制。

核心计数部分比较简单,主要是进行计数并且产生进位信号。

三、各单元电路的设计方案及原理说明(一)赋值电路部分赋值电路的设计是整个电路设计最复杂的一个环节,其主要问题主要集中在(1)对于一个计数器的数据输入端,如何使之在不同时刻数据不同,即:如果假设绿灯为30秒,黄灯5秒,在对绿灯倒数计数时,则计数器的输入端应该为30,在置数信号到达时即可将其置数到输出端,并可开始计数。

而当这30秒将要倒数完成时,又要考虑将输入端数据变成05(2)如果设计成任意输入数据,将以何种方式进行输入。

在设计这部分的电路时我首先想到的是第二个问题,开始阶段我所采用的是74LS151,同时决定给每一位个数据分配一个控制开关,但是,问题显而易见,开关太多了……粗略估计了一下,大概需要四十个左右……所以显然方案不行。

数字电路课程设计(红绿灯)

数字电路课程设计(红绿灯)

数字电路课程设计(一)——红绿灯设计方案总结报告指导教师:设计人员:班级:电信081一、日期:设计任务书1、题目:红绿灯控制器2、设计要求:设计一个红绿灯控制器设计应具有以下功能基本设计要求:设计一个红绿灯控制器控制器设计应具有以下功能(1)东西方向绿灯亮,南北方向红灯亮。

.(2)东西方向黄灯亮,南北方向红灯亮。

(3)东西方向红灯亮,南北方向绿灯亮。

(4 ) 东西方向红灯亮,南北方向黄灯亮。

要求有时间显示(顺数、逆数皆可),时间自定。

(大于15秒以上)二、设计框图及整机概述本课程设计在继承了原有的红绿灯的基本功能的基础上,有对其功能进行了很大的完善,其中主要包括:(1)可以对大小路口的绿灯及黄灯任意置数。

(2)在倒计时3~0秒期间,当时正在点亮的绿灯或黄灯会进行闪烁。

正是由于拥有了这两个功能,使得电路稍显复杂,现在将分别讲解其设计思路。

本电路大体上可以分为四个部分,即:赋值电路部分、控灯闪烁部分、控制部分和核心计数部分。

其中,赋值电路部分占据了大量的空间和芯片,其主要原理是数据选择。

控灯闪烁部分的芯片较少,也没有占用太多空间,控制部分分布在系统的各个部分,可以说是系统的灵魂,它对整个系统进行着控制。

核心计数部分比较简单,主要是进行计数并且产生进位信号。

三、各单元电路的设计方案及原理说明(一)赋值电路部分赋值电路的设计是整个电路设计最复杂的一个环节,其主要问题主要集中在(1)对于一个计数器的数据输入端,如何使之在不同时刻数据不同,即:如果假设绿灯为30秒,黄灯5秒,在对绿灯倒数计数时,则计数器的输入端应该为30,在置数信号到达时即可将其置数到输出端,并可开始计数。

而当这30秒将要倒数完成时,又要考虑将输入端数据变成05(2)如果设计成任意输入数据,将以何种方式进行输入。

在设计这部分的电路时我首先想到的是第二个问题,开始阶段我所采用的是74LS151,同时决定给每一位个数据分配一个控制开关,但是,问题显而易见,开关太多了……粗略估计了一下,大概需要四十个左右……所以显然方案不行。

数字电路课程设计红绿灯控制器精修订

数字电路课程设计红绿灯控制器精修订

数字电路课程设计红绿灯控制器GE GROUP system office room 【GEIHUA16H-GEIHUA GEIHUA8Q8-题目:红绿灯控制器指导老师:XX设计人员: XX学号:XXXXXXXXX班级:XXX设计日期:XXXX目录一.设计任务书二.设计框图及整机概述三.各单元电路的设计方案及原理说明四.调试过程及结果分析五.设计、安装及调试中的体会六.对本次课程设计的意见及建议七.附录(包括:整机逻辑电路图和元器件清单)一、设计任务书任务:红绿灯计时器1、南北方向绿灯亮,东西方向红灯亮;2、南北方向黄灯亮,东西方向红灯亮;3、南北方向红灯亮,东西方向绿灯亮;4、南北方向红灯亮,东西方向黄灯亮;二、设计框图及整机概述南北方向绿灯亮36秒的同时东西方向红灯49秒,随后黄灯亮13秒,红灯亮49秒的一个红绿灯控制器。

时间信号十字路口交通示意图三、 各单元电路的设计方案及原理说明。

总共利用3块计数器芯片,3个74LS190。

10进制个位计数器5进制十位计数器74LS00将48这个信号送给LOAD 异步并行置入控制端(低电平有效),同时在经过非门后和74LS21(电路中用3个与门代替)输出的36信号通过或门给最后一个74LS190输入指示灯的控制信号,也就是在36秒和48秒的时候发生跳变。

最后一个74LS190和指示灯相连接。

状态分配:(0——灭,1——亮)由黄绿灯的状态分配表,得如下逻辑关系:南北:红=QB 东西:红=QB’黄=QAQB’东西:黄= QAQB绿=QA’QB’东西:绿= QA’QB四、调试过程及结果分析在整个设计与调试的过程中,曾出现了很多的问题与偏差。

比如说未连接保护电阻使灯烧坏,灯不亮或者在刚开始的时候只亮一个绿灯或红灯,还有数码跳变出现乱码。

再经过反复检查电路是否有连接错误,是否有短路,焊点间是否有错误连接,还有对坏的芯片的及时更换,以及与同宿舍的同学共同探讨,电路只能计数,灯不能跳变。

数字电路课程设计(红绿灯控制器)

数字电路课程设计(红绿灯控制器)

题目:红绿灯控制器指导老师:XX设计人员: XX学号:XXXXXXXXX班级:XXX设计日期:XXXX目录一.设计任务书二.设计框图及整机概述三.各单元电路的设计方案及原理说明四.调试过程及结果分析五.设计、安装及调试中的体会六.对本次课程设计的意见及建议七.附录(包括:整机逻辑电路图和元器件清单)一、设计任务书任务:红绿灯计时器1、南北方向绿灯亮.东西方向红灯亮;2、南北方向黄灯亮.东西方向红灯亮;3、南北方向红灯亮.东西方向绿灯亮;4、南北方向红灯亮.东西方向黄灯亮;二、设计框图及整机概述南北方向绿灯亮36秒的同时东西方向红灯49秒.随后黄灯亮13秒.红灯亮49秒的一个红绿灯控制器。

十字路口交通示意图三、各单元电路的设计方案及原理说明。

总共利用3块计数器芯片.3个74LS190。

10进制个位计数器5进制十位计数器74LS00将48这个信号送给LOAD 异步并行置入控制端(低电平有效).同时在经过非门后和74LS21(电路中用3个与门代替)输出的36信号通过或门给最后一个74LS190输入指示灯的控制信号.也就是在36秒和48秒的时候发生跳变。

最后一个74LS190和指示灯相连接。

状态分配:(0——灭.1——亮)由黄绿灯的状态分配表.得如下逻辑关系:南北:红=QB 东西:红=QB’黄=QAQB’东西:黄= QAQB绿=QA’QB’东西:绿= QA’QB四、调试过程及结果分析在整个设计与调试的过程中.曾出现了很多的问题与偏差。

比如说未连接保护电阻使灯烧坏.灯不亮或者在刚开始的时候只亮一个绿灯或红灯.还有数码跳变出现乱码。

再经过反复检查电路是否有连接错误.是否有短路.焊点间是否有错误连接.还有对坏的芯片的及时更换.以及与同宿舍的同学共同探讨.电路只能计数.灯不能跳变。

另外连接电路时粗心把计数器的个位和十位接反了。

五、设计安装及调试过程中的体会在上学期上数字电路课程以及数字电路实验课程的时候.对各类芯片的了解与掌握并不是很好。

数电综合实验报告2--交通灯

数电综合实验报告2--交通灯

数电综合实验报告2--交通灯数字逻辑与数字系统设计综合实验二——十字路口交通灯自动控制器的设计学院电子工程学院班级卓越001012班学号00101201姓名冉艳伟实验时间2012.6.8十字路口交通灯自动控制器的设计一、实验目的学习QuartusII的使用方法,熟悉可编程逻辑器件的使用。

通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。

二、设计任务设计一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。

当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。

当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行。

东西干道图1 路口交通管理示意图三、实验要求(1)按照设计任务设计,采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。

交通灯用发光二级管模拟,观察交通灯的运行是否正常,如果不正常,排除故障直至正确为止。

(2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。

要求:必须用可编程器件实现电路功能。

可以是原理图,也可以是VHDL代码,也可以混合输入。

五、设计说明(1)第一模块:CLK时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。

因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作,故对1MHz的时钟信号进行1000000分频。

模块说明:系统输入信号:CLKi: 由外接信号发生器提供1MHz的时钟信号;系统输出信号: CLK:产生每秒一个脉冲的信号;(2)第二模块:模90倒计数器按照实验要求,交通灯循环一次为90秒,且显示倒数的计数值,故设计一模90倒计数器通过主程序运算即可显示各路计数值。

数电实验报告交通灯

数电实验报告交通灯

数电实验报告交通灯数字电路课程设计报告书——交通灯控制器学院名称:学生姓名:专业名称:电子工程学院王伟哲集成电路设计与集成系统班级:电路0903实习时间:011年6月20日——011年7月1日一实验目的题目:交通灯控制器通过本次实习利用所给器材和要实现的电路功能结合理论知识实际要求设计出合理的电路,达到深刻理解理论知识和其与实际运用的差异,初步掌握模拟与数字逻辑电路系统设计的基本方法。

二实验任务与要求红绿灯交通信号系统外观示意图如图所示。

1.在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一方向是绿灯、黄灯、红灯;另一方向是红灯、绿灯、黄灯。

2.设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间是20s,另一个方向上绿灯亮的时间是30s,黄灯亮的的时间都是5s。

3.用两组数码管,实现双向倒计时显示。

总时序工作流图主干道次干道绿灯亮红灯亮黄灯亮红灯亮红灯亮三使用元件①元件清单 1.74ls1612.74ls1393.74ls5554.74ls485.74ls006.74ls047.74ls08秒5秒20秒5秒红灯亮绿灯亮黄灯亮②元件管脚和功能表CLRCLKABCDENPGNDVCCRCOQAQBQCQDENTLD74161功能表74ls161管脚及其功能表VCC2G2A2B2Y02Y12Y22Y374139功能表74ls139及其功能表BCDAGND74ls48的管脚和功能表74ls00管脚74ls04管脚74ls08管脚前言现如今,随着人口和汽车的日益增长,城市交通日益拥挤,人们的安全问题也日益重要。

因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。

交通信号灯常用于十字路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。

有了交通灯人们的安全出行有了很大的保障。

自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。

数电 红绿灯课程设计

数电 红绿灯课程设计

课程设计任务书学生姓名: 专业班级: 指导教师: 工作单位:题 目: 十字路口交通管理控制器设计 初始条件:multisim11.0 数字电路基础 个人计算机 1设计任务说明及其要求任务说明:在主、支道路的十字路口分别设置三色灯控制器,红灯亮禁止通行,绿灯亮允许通行,黄灯亮要求压线车辆快速穿越。

根据车流状况不同,可调整三色灯点亮或关闭时间。

图(1) 交通管理控制器框图设计要求: 基本部分①可用LED 模拟交通灯;②主道路绿、黄、红灯亮的时间分别为60秒、5秒、25秒; 次道路绿、黄、红灯亮的时间分别为20秒、5秒、65秒; ③主、次道路时间指示采用倒计时制,用2位数码管显示。

时序关系应该符合如下要求:时钟电主道计数次道计数译码显示译码显示组合电组合电秒脉冲电主绿主黄 主红 次绿次黄 次红计 数 组 合 逻 辑 电图(2 )交通灯时序图(2)扩展部分①主、次道路绿、黄、红灯亮的时间可以预置; ②主、次道路绿、黄、红灯亮的时间可以分别调整。

时间安排:第17周(7、8节):理论讲解第18~19周:理论设计及实验室安装调试;地点:鉴主15通信工程实验室(1),鉴主13通信工程专业实验室;第20周:撰写设计报告及答辩;地点:鉴主17楼研究室。

指导教师签名: 2010年 7月 6日 系主任(或责任教师)签名: 年 月 日主道路绿灯: 次道路绿灯: 主道路黄灯: 主道路红灯: 次道路黄灯: 次道路红灯:5秒5秒60秒25秒 20秒65秒循环周期目录摘要 (I)ABSTRACT (II)1总体方案设计 (1)1.1方案一 (1)1.2方案二 (2)1.3方案的最终选择 (2)2单元电路的设计和元器件的选择 (3)2.1控制器电路 (3)2.2秒脉冲信号发生电路 (4)2.3时间设置电路 (5)2.4计数电路原理 (6)2.5显示输出电路 (7)2.6红黄绿灯控制电路 (8)2.7总电路原理图 (9)3 电路的性能测试与仿真 (10)3.1软件介绍 (10)3.2功能的调试 (11)3.2.1时间显示的调试 (11)3.2.2时间预置的调试 (12)3.2.3扩展功能的调试 (14)3.3总体电路的调试 (15)4小结与体会 (18)5参考文献 (19)摘要十字路口交通灯广泛应用于城市十字路口交通通行控制,确保个方向交通流量的均衡。

数字逻辑电路设计,红绿灯

数字逻辑电路设计,红绿灯

《数字逻辑电路设计》课程设计总结报告题目:红绿灯控制器指导老师:罗强设计人员:徐才胜学号:0121411370315班级:电气ZY1401班日期:2016年6月目录一、设计任务书二、设计框图及整机概述三、各单元电路的设计方案及原理说明四、调试过程及结果分析五、设计、安装及调试中的体会六、对本次课程设计的意见及建议七、附录(包括:整机逻辑电路图及元器件清单)一、设计任务书一、题目:红绿灯控制器二、设计要求设计一个红绿灯控制器设计应具有以下功能设计要求:设计一个红绿灯(1)主道方向绿灯亮(如30s),支道方向红灯亮。

(2)主道方向黄灯亮(如5s),支道方向红灯亮。

(3)主道方向红灯亮(如20s),支道方向绿灯亮。

(4 ) 主道方向红灯亮(如5s),支道方向黄灯亮。

要求有时间显示(顺数、逆数皆可),时间自定。

(大于20秒以上)可添加其他能。

实验器材:推荐使用74ls192,74ls74,cd4511,各种逻辑门芯片,数码管等常用且功能灵活的芯片。

三、给定条件1.只能采用实验室提供的中小规模电路进行设计。

(不一定是实验用过的)支道主道十字路口交通示意图二、设计框图及整机概述1、设计框图2、整机概述上图是整个交通灯电路的设计框图。

首先,脉冲发生电路(秒信号产生单元)是用来给计数芯片产生脉冲的,以便计数芯片可以正常的工作,可以直接使用试验箱上的秒信号产生单元。

红绿灯控制系统是整个电路的核心,它控制着红绿灯的亮灭、数码管的显示以及控制计数时间,所以对于这个部分的设计是非常重要的。

计数系统我们可以用计数芯片192来实现,采用置数或者置零的方法都可以让实现某一个时间段的计数。

译码显示系统是用来显示时间的,它将计数系统所记的时间用数码管显示出来,以便我们可以更清楚的知道红绿灯亮灭的时间。

状态计数模块可以控制整个电路的状态显示,显示主支路上的红绿灯。

最后的红绿灯部分用三个红色发光二极管将我们所设计的电路功能直观的表现出来。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数电实验: 设计红绿灯 电路
设计流程 一、观察并记录红绿灯的规则 二、列写出真值表 三、设计电路
一、观察并记录红绿灯的规则
通过观察,我们得出几个数据:
车行道:红灯22S

绿灯64Sຫໍສະໝຸດ 黄灯2S 人行道:绿灯20S

黄灯2S

红灯66S
所以,我们设计电路时,以2秒一闪为一个单位
运用逻辑转化仪得出 逻辑关系式
二、列写出真值表
三、设计电路
利用multisim软件将真值表转化为逻辑电路
车行道黄灯
车行道绿灯
人行道绿灯
人行道黄灯
人行道红灯
运用逻辑转化仪,得出电路

谢谢观赏
项目分工
观察红绿灯: 处理数据: 电路设计: PPT制作 设计报告: 演讲:
相关文档
最新文档