数电课程设计多功能数字钟的设计与实现

合集下载

数字电子技术课程设计--多功能数字钟

数字电子技术课程设计--多功能数字钟

目录第一章设计任务 (4)1.1 设计题目及要求 (4)1.1.1 题目 (4)1.1.2 设计要求 (4)第二章方案设计 (5)2.1 总体方案说明 (5)2.2 模块结构以及总体方框图 (5)第三章单元电路设计与原理说明 (6)3.1 按键消抖电路的设计 (6)3.1.1 RS触发器消抖 (6)3.2 分频器的设计 (7)3.2.2 1000分频器 (7)3.3基础电子钟及其显示设计 (8)3.3.1 时钟计数器 (8)3.3.2 功能选择及校准 (9)3.4整点报时器的设计 (10)3.5数码管显示切换电路的设计 (11)3.6 闹钟及其显示的设计 (12)第四章整机电路图及说明 .............................................................. 错误!未定义书签。

4.1整体电路图及说明................................................................................ 错误!未定义书签。

第五章电路仿真 (15)5.1基本时钟电路及其时间设置功能仿真 ................................................ 错误!未定义书签。

5.1.1基本计时功能的仿真............................................................. 错误!未定义书签。

5.1.2时间设置功能的仿真............................................................. 错误!未定义书签。

5.2整点报时功能的仿真............................................................................ 错误!未定义书签。

数电课程设计--数字钟的设计与制作

数电课程设计--数字钟的设计与制作

综述………………………………………………………………………1
1、方案设计与选择……………………………………………………1
2、原理设计和功能描述………………………………………………2
2.1数字计时器的设计思想……………………………………………2
2.3单元电路的设计……………………………………………………3
(二)具体要求:
1.画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。
2.设计各个功能模块的电路图,加上原理说明。
3.选择合适的元器件,设计、选择合适的输入信号和输出方式,在确保电路正确性同时,输入信号和输出方式要便于电路的测试和故障排除。在线路板上接线验证、调试各个功能模块的电路。
2.3.1振荡器电路………………………………………………………3
2.3.2时间计数器电路…………………………………………………3
2.3.3数码管……………………………………………………………4
2.3.4校时控制电路……………………………………………………4
2.3.5数字电子钟原理效果图…………………………………………5
时分秒计数器的选择。时分秒计数器的选择同样有多种,74LS160和74LS161, 74LS190和74LS191等等都可以,考虑到其简单易用和作为课本上重点内容在此我们选择的是
2.导线/电阻/电容/石英晶体等
四.设计流程:
1.布置任务及查资料。
2.初步确定设计方案并进行必要计算,画出总体设计框图。
3.标出各个模块之间互相联系,时钟信号传输路径等,画出总体原理图,芯片连接总图。
4.数字系统的制作与调试后,功能验证。

多功能电子时钟数字系统课程设计设计实验报告

多功能电子时钟数字系统课程设计设计实验报告

多功能电子时钟数字系统课程设计设计实验报告数字系统课程设计设计实验报告———多功能电子时钟目录一、电子时钟的功能及工作介绍 01、本设计电子时钟具有的功能 02、本设计电子时钟工作介绍 0二、设计思路 0三、各模块具体介绍 (1)计数器模块: (1)控制模块: (3)四、仿真 (6)五、实验成果 (6)六、实验总结和感想 (6)1、实验错误排查和解决 (6)2、实验感想 (7)七、各模块代码 (8)1、计数器模块 (8)2、控制模块 (20)一、电子时钟的功能及工作介绍1、本设计电子时钟具有的功能1)具有显示时、分、秒的功能,能准确显示时间2)能够手动设置时间3)具有闹钟功能,可以设置闹钟的时间,然后再实际时间与设定时间相等是闹钟响,并有闹钟开关,可控制其是否响4)具有秒表功能,可以累计计时2、本设计电子时钟工作介绍此电子时钟开机后即会显示时间,其中后两位数码管显示秒,前两位数码管显示分,还可以通过拨盘开关S1来使得前两位数码管显示小时。

(开机后,按下按键1一次,会继续显示时间。

)此后,每按下按键1一次,会显示设置小时界面,按下按键1两次会显示设置分钟界面,按下按键1三次会显示闹钟设置小时界面,按下按键1四次会显示闹钟设置分钟界面,按下按键1五次会显示秒表界面。

而在每一个界面,按下按键2相应的位会开始跳动,在按下按键2时,跳动停止,此时按下按键3,即确认键,则会返回时间显示状态。

二、设计思路设计一个电子时钟,必然要用到计时器,而需要设置时间和闹钟,又需要控制器来控制系统所处的状态。

我们采用外部一个按键来切换系统的状态,用另一个按键来调整时间和启动秒表,再有一个按键来确认操作,并返回显示状态,继续等待命令。

在控制器中,需要接受外部信号,并给出信号给计时器,使其做出相应的动作。

武汉理工大学 课程设计 多功能数字钟的设计及制作

武汉理工大学 课程设计 多功能数字钟的设计及制作

摘要数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的应用。

数字钟从原理上讲是一种典型的数字电路,其中包含了组合逻辑电路和时序逻辑电路。

本次课程设计则是利用十进制计数器、译码器,以及数码显示管和一些常用的逻辑门器件设计并制作一个多功能数字钟,对该数字钟的各个单元进行详细的原理分析,同时阐述仿真过程中出现的问题及调试过程,并比较测试结果和理论结果。

除此之外还有硬件实现的步骤和理论依据,最后对整个设计、仿真、硬件实现的过程进行总结。

关键词:数字钟脉冲信号计时清零武汉理工大学《电工电子技术》课程设计说明书多功能数字钟的设计及制作1 设计内容及要求1.1设计内容设计一个多功能数字钟,能够达到指定的要求。

1.2设计要求1.数字钟可以按常理计时,并显示时、分、秒;2.小时以24为一个周期,分和秒均以60为一个周期;3.具有校时功能,可以分别对时和分进行单独校时,使其校正到指定时间;2 电路设计方案及其论证2.1 电路设计方案12.1.1 原理框图图2.1武汉理工大学《电工电子技术》课程设计说明书2.1.2 原理电路图图2.2武汉理工大学《电工电子技术》课程设计说明书2.2 电路设计方案22.2.1 原理框图方案2的基本原理框图和方案一相同。

2.2.2 原理电路图图2.32.3 方案对比,择优选择2.4 方案论证数字电子钟由信号发生器、计数器、数码显示管、校时控制电路组成。

时钟源产生稳定的脉冲信号送进秒计时器,通过六十进制的秒计数器后产生一个分脉冲,使分计数器计一次数,同样通过分计数器产生一个时脉冲,使时计数器计一次数。

最后一整个循环下来,通过反馈清零对数字钟清零。

在计数过程中计数器的输出端接译码器,将二进制码传送到数码显示管,则可以显示时间。

校时电路则是通过开关来控制各个芯片的脉冲输入端,需要校时时,该芯片接开关控制的单脉冲则可以通过一次次的单脉冲来校正时间。

多功能数字钟数电课程设计实验报告

多功能数字钟数电课程设计实验报告

(数电课程设计)实验报告(理工类)2021 至2021 学年度第二学期课程名称多功能数字钟电路设计系别班级电气系11级电子信息工程一班指导教师周旭胜学号姓名耿王鑫1一、谷和伟12贺焕13、黄兴荣14解军1五、井波16李丰17、李小飞18梁富慧19目录一、设计要求及任务 ...................................................................................... 错误!未定义书签。

二、系统设计方案 ........................................................................................ 错误!未定义书签。

三、器件选择 ................................................................................................ 错误!未定义书签。

1、74LS160............................................................................................... 错误!未定义书签。

2、74LS107............................................................................................... 错误!未定义书签。

3、74LS90................................................................................................. 错误!未定义书签。

显示屏....................................................................................................... 错误!未定义书签。

数电课设--数字钟的设计

数电课设--数字钟的设计

数电课设--数字钟的设计摘要:该设计主要是设计一种基于数字电路实现的数字钟,用于显示当前时间,同时设计一个简单的时间调整系统来实现对数字钟的时间调整。

本设计实现了数字钟的时间显示、时间调整等功能,具有简单、实用等优点。

关键词:数字钟、计数器、时间调整系统一、引言数字钟是一种时钟显示设备,它可以在显示面板上显示当前时间,数字钟的普及改变了人们观念上的关于时间知识的变革。

本课设就是要通过设计一个数字钟,来综合应用我们所学的数字电路知识,通过数字电路的设计实现时间的显示及调整。

二、数字钟的设计原理数字钟的设计离不开计数器和定时器,计数器的作用是进行计数操作,进而对时间进行处理,定时器的作用是用来控制计数器的计数和复位,使其能够按照固定的时间序列不断进行计数。

数字钟的显示部分采用数码显示管显示当前时间,数码显示管显示的时间单位有小时、分钟和秒。

三、数字钟的设计方案数字钟的设计方案可以分为两部分,一部分是计数器及定时器的设计,另一部分是时间调整系统的设计。

下面分别进行介绍。

(一)计数器及定时器的设计计数器采用7474型D触发器进行设计,二进制计数器采用模8计数模式,带有异步复位功能。

其中,D触发器的Vcc接+5V电源,GND接地,CLK接定时器的输出,D接Q的输出,Q接下一级触发器D端。

计数器采用8253/8254型定时器,应该根据标准时钟的频率和预置值计算计数器的频率和复位时间。

时间调整功能通常是通过8255接口芯片实现。

(二)时间调整系统的设计时间调整系统通过单片机实现,主要实现以下功能:上下键切换修改时间单位、按键快速调整修改时间数字、按键高频稳定范围设置、判断闹钟是否开启、日历选择等。

四、数字钟的实现数字钟的实现可以参考实验教材进行,实现前需要明确以下几点:1. 根据实际需求确定数字钟的参数:例如显示的时间格式,以及是否需要设置闹钟等。

2. 设计好数字钟的原理图,并选择适合的元件进行接线。

3. 进行电路调试和测试,对电路进行稳定性测试等。

数电课程设计多功能数字钟的设计与实现

数电课程设计多功能数字钟的设计与实现

课程设计任务书题目: 多功能数字钟的设计与实现初始条件:本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。

用数码管显示时间计数值。

要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。

2、技术要求:1)设计一个数字钟。

要求用六位数码管显示时间,格式为00:00:00。

2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。

3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。

4)设计提供连续触发脉冲的脉冲信号发生器,5)具有校时单元、闹钟单元和整点报时单元。

6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。

3、查阅至少5篇参考文献。

按《******大学课程设计工作规》要求撰写设计报告书。

全文用A4纸打印,图纸应符合绘图规。

时间安排:1、 2013年 3 月 18 日,布置课设具体实施计划与课程设计报告格式的要求说明。

2、 2013 年 3 月 22日至 2013 年 5 月 10 日,方案选择和电路设计。

3、 2013 年 5 月 25 日至 2013 年 7 月 2 日,电路调试和设计说明书撰写。

4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日目录1 引言 (1)1.1 数字钟简介 (1)1.2 EWB简介 (1)2 方案选择 (3)3 系统框图 (4)4 分电路设计 (5)4.1 脉冲产生电路 (5)4.1.1设计要求 (5)4.1.2所需元件 (5)4.1.3元件介绍 (5)4.1.4参数计算 (6)4.1.5电路设计 (7)4.2计数电路 (8)4.2.1秒电路 (8)4.2.2分电路 (9)4.2.3时电路 (11)4.3显示电路 (12)4.3.1所需元件 (12)4.3.2元件介绍 (12)4.3.3原理说明 (12)4.3.4电路设计 (12)4.4整点报时电路 (13)4.4.1设计要求 (13)4.4.2所需元件 (13)4.4.3元件介绍 (13)4.4.4参数计算 (13)4.4.5原理说明 (14)4.4.6电路设计 (14)4.5校时电路 (15)4.5.1设计要求 (15)4.5.2所需元件 (15)4.5.3元件介绍 (15)4.5.4原理说明 (15)4.5.5电路设计 (15)4.6闹钟电路 (16)4.6.1设计要求 (16)4.6.2所需元件 (16)4.6.3元件介绍 (16)4.6.4原理说明 (18)4.6.5电路设计 (18)5总电路图 (19)6心得体会 (20)7元件清单 (21)8参考文献 (23)摘要数字钟是一种用数字电路技术实现时、分、秒计时的钟表。

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告第一章设计背景与要求设计要求第二章系统概述设计思想与方案选择各功能块的组成工作原理第三章单元电路设计与分析各单元电路的选择设计及工作原理分析第四章电路的组构与调试遇到的主要问题现象记录及原因分析解决措施及效果功能的测试方法,步骤,记录的数据第五章结束语对设计题目的结论性意见及进一步改进的意向说明总结设计的收获与体会附图电路总图及各个模块详图参考文献第一章设计背景与要求一.设计背景与要求在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦;数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用;数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路;设计一个简易数字钟,具有整点报时和校时功能;1以四位LED数码管显示时、分,时为二十四进制;2时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时;3整点报时采用蜂鸣器实现;每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束;4才用两个按键分别控制“校时”或“校分”;按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化;二.设计要求电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用;在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选择课题、电子电路设计、组装、调试和编写总结报告等实践内容;通过本次简易数字钟的设计,初步掌握电子线路的设计、组装及调试方法;即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;第二章系统概述设计思想与方案选择方案一 ,利用数字电路中学习的六十进制和二十四进制计数器和三八译码器来实现数字中的时间显示;方案二,利用AT89S51单片机和74HC573八位锁存器以及利用C语言对AT89S51进行编程来实现数字钟的时间显示;由于方案一通过数电的学习我们都比较熟悉,而方案二比较复杂,涉及到比较多我们没学过的内容,所以选择方案一来实施;简易数字钟电路主体部分是三个计数器,秒、分计数器采用六十进制计数器,而时计数器采用二十四进制计数器,其中分、时计数器的计数脉冲由校正按键控制选择秒、分计数器的溢出信号或校正10Hz计数信号;计数器的输出通过七段译码后显示,同时通过数值判断电路控制蜂鸣器报时;各功能块的组成分频模块,60进制计数器模块,24进制计数器模块,4位显示译码模块,正点报时电路模块,脉冲按键消抖动处理模块工作原理一.简易数字钟的基本工作原理是对1Hz标准频率秒脉冲进行计数;当秒脉冲个数累计满60后产生一个分计数脉冲,而分计数脉冲累计满60后产生一个时计数脉冲,电路主要由3个计数器构成,秒计数和分计数为六十进制,时计数为二十四进制;将FPGA开发装置上的基准时钟OSC作为输入信号通过设计好的分频器分成1Hz~10MHz8个10倍频脉冲信号;1Hz的脉冲作为秒计数器的输入,这样实现了一个基本的计时装置;通过4位显示译码模块,可以显示出时间;时间的显示范围为00时00分~23时59分;二.当需要调整时间时,可使用数字钟的时校正和分校正进行调整,数字钟中时、分计数器都有两个计数脉冲信号源,正常工作状态时分别为时脉冲和分脉冲;校正状态时都为5~10Hz的校正脉冲;这两种状态的切换由脉冲按键控制选择器的S 端来实现;为了更准确的设定时间,需要对脉冲按键进消抖动处理;三.电路在整点前10 秒钟内开始控制蜂鸣器报时,可采用数字比较器或逻辑门判断分、秒计数器的状态码值,以不同频率的脉冲控制蜂鸣器的鸣响;第三章单元电路设计与分析各单元电路的选择1分频模块,设计一个8级倍率为10 的分频电路,输出频率分别为1Hz 、10Hz、100 Hz、1k Hz、10k Hz、100k Hz、1 MHz、10MHz8组占空比为50%的脉冲信号;260进制计数器模块,采用两片74161级联;324进制计数器模块,采用两片74161级联;44位显示译码模块,由分频器,计数器,数据选择器,七段显示译码,3-8线译码器构成一个4位LED数码显示动态扫描控制电路;其中4位计数器用74161,数据选择器用74153,七段显示译码器部分采用AHDL硬件描述语言设计;5正点报时电路模块,该模块采用与门和数据选择器74153构成6脉冲按键消抖动处理模块,采用D触发器实现消抖动,从而能够比较精确地设定时间;设计及工作原理分析1分频模块要输出8级频率差为10倍的分频电路,可采用十进制计数器级联实现;集成十进制计数器的类型很多,比较常用的有74160、74162、74190、74192和7490等;这里采用7490来实现分频,7490是二-五-十进制加计数器,片上有一个二进制计数器和一个异步五进制计数器;QA是二进制加计数器的输出,QB、QC、QD是五进制加计数器的输出,位序从告到低依次为D,C,B;该分频器一共用到7片7490,初始信号输入到第一片7490的CLKB 端口,QD输出端连接到CLKA端,作为输入,从QA引出1MHz的output端口,并引线到第二片7490的CLKB端口,依此类推,直到第七片7490连接完成如附图所示;每片7490相当于一个五进制计数器和一个二进制计数器级联实现了十进制加计数,从而实现分频;分频模块图如图所示分频模块内部结构图如下图所示260进制计数器模块采用两片74161级联,如图,下面一片74161做成十进制的,初始脉冲从CLK输入,ENT和ENP都接高电平,而QD与QA用作为与非门的两个输入,与非门输出分别连接到自身的LDN端与上面一片74161的CLK端;上面一片74161的QC和QA端作为与非门的两个输入通过输出连接到自身的LDN,ENT 和ENP接高电平;下面一片实现从0000到1001即0~9十个状态码的计数,当下面一片为1001状态时,自身的LDN为低电平,此时QD,QC,QB,QA的状态恢复到0000,即从0开始从新计数,而上面一片74161的CLK电平改变,上面一片74161开始计数为0001,实现从0000~到0101即0到5六个状态码的计数,当上面一片状态为0101时,LDN为低电平,此时计数器为0000;这样子通过两片74161就实现了一个六十进制计数器;下图为六十进制计数器模块的示意图由六十进制计数模块构成的秒分计数如下图,下面那块六十进制技术模块表示为妙,上面那块六十进制计数模块表示为分;当妙计数模块的状态为0101 1001时,向分计数模块进位, 即通过74153M的输入C1,此时74153M输出接到分计数模块的输入端 ,通过74153M作为选择器,实现进位控制;324进制计数器模块采用两片74161级联,如图,下面一片74161做成十进制的,初始脉冲从CLK输入,ENT和ENP都接高电平,而QD与QA用作为与非门的两个输入分别连接到自身的LDN端与上面一片74161的CLK端;上面一片74161的QB非门的一个输入通过输出连接到自身的LDN,ENT 和ENP接高电平,并且上面74161的QB端和下面一块74161的QC端通过与非门输出接到两片74161的清零端CLRN;下面一片实现从0000到1001即0~9十个状态码的计数,当下面一片为1001状态时,自身的LDN为低电平,此时QD,QC,QB,QA的状态恢复到0000,即从0开始从新计数,而上面一片74161的CLK电平改变,上面一片74161开始计数为0001,实现从0000~到0010即0到2三个状态码的计数,当上面一片状态为0010即2时,下面一片状态为0100即4时,两块74161的CLRN为低电平,此时两块74161的状态都为0000,即实现了23时过后显示00时;这样子通过两片74161就实现了一个24进制计数器;下图为24进制计数器模块示意图由二十四进制计数模块构成的时计数模块如图,下面那块六十进制技术模块表示为分,上面那块24进制计数模块表示为时;当分计数模块的状态为0101 1001时,向时计数模块进位, 即通过74153M的输入C1,此时74153M输出接到时计数模块的输入端 ,通过74153M作为选择器,实现进位控制;二十四进制计数模块构成的时计数模块44位显示译码模块由分频器,计数器,数据选择器,七段显示译码,3-8线译码器构成一个4位LED数码显示动态扫描控制电路;4位计数器由74161构成;如下图所示74161构成的4位计数器数据选择器采用两片74153 和一片74153M两片74153实现连在一起实现对四个数字的选择,而一片74153M实现对小数点的选择;如下图所示74153M构成的数据选择器两片74153构成的数据选择器七段显示译码器部分采用AHDL硬件描述语言设计,语句如下:subdesign ymqdata_in3..0 :input;a,b,c,d,e,f,g :output;begintabledata_in3..0 =>a,b,c,d,e,f,g;b"0000" =>1,1,1,1,1,1,0;b"0001" =>0,1,1,0,0,0,0;b"0010" =>1,1,0,1,1,0,1;b"0011" =>1,1,1,1,0,0,1;b"0100" =>0,1,1,0,0,1,1;b"0101" =>1,0,1,1,0,1,1;b"0110" =>0,0,1,1,1,1,1;b"0111" =>1,1,1,0,0,0,0;b"1000" =>1,1,1,1,1,1,1;b"1001" =>1,1,1,0,0,1,1;b"1010" =>1,1,1,0,1,1,1;b"1011" =>0,0,1,1,1,1,1;b"1100" =>1,0,0,0,1,1,0;b"1101" =>0,1,1,1,1,0,1;b"1110" =>1,0,0,1,1,1,1;b"1111" =>1,0,0,0,1,1,1;end table;end;整个四位显示译码模块如图所示5正点报时电路模块该模块采用与门和数据选择器74153构成,如下图所示;7个输入端口的与门控制A,当时间在59分51s,53s,55s,57s,59s的时候,A为高电平1,当秒的个位数为9时,B为高电平1,A为1,B为0时,输出C1低频率信号,A为1,B为1时输出C3高频率信号,实现整点的不同频率的报时电路;整点报时电路模块6脉冲按键消抖动处理模块采用D触发器实现消抖动,从而能够精确地设定时间;校正状态为5HZ的校正脉冲,分频器输出的10HZ通过T触发器得到5HZ的校正脉冲;如图脉冲按键消抖动处理模块通过T触发器得到的5HZ校正脉冲第四章电路的组构与调试遇到的主要问题1在用74161做二十四进制计数器时,没有深入考虑,打算采用第一片六进制,第二片四进制级联而成,结果出现问题;2时、分调整按键没有安装消抖动装置;3在设置简易数字钟的分时,时计数器也会进;现象记录及原因分析1虽然也能够计数实现二十四进制,但是不能与七段显示译码器配合使用,不能显示直观的数值,这样给用户带来不便;2在下载调试的时候,我要进行时分调整,但是有时按一下子脉冲键会进两个数值,这样子给时分的设置带来了麻烦,原因是按键没有采用消抖动装置;3在调试的时候,打算通过按键调整分,但是发现时计数器也会进位,这就不符合要求了,原因是调整分时,各计数器都按正常状况在计数,所以会按正常情况产生进位;解决措施及效果1仍然采用两片74161,第一片可以从0~9,第二片只能从0~2,而且当第二片为2的时候,第一片到4的话就都清零复位,这样不仅实现了二十四进制计数器,而且能与七段显示译码器配合使用,直观的显示数字;2在脉冲控制按键上加上了D触发器,这样子可以达到消抖动的效果;3加上选择器,把两路信号分开,当调整分的时候,不对时计数器产生进位,这样子就不会产生十进位了,解决了这个问题;功能的测试方法、步骤,记录的数据1简易数字钟的测试,将电路图连好后,分析与综合,仿真,编译,下载到仪器上,表示秒的小数点按1Hz,占空比50%跳动,分从0~59计数,分过了59后,向时计数器进1;2整点点报时功能的测试,到了整点,即59分51s,53s,55s,57s时蜂鸣器低频率间断性鸣响,59分59秒时,蜂鸣器高频率鸣响一次;3时、分调整功能的测试,按分调整键,分按一定的频率逐次加一,但是时显示不变;按时调整键,时按一定的频率逐次加一,但是分显示不变;第五章结束语对设计题目的结论性意见及进一步改进的意向说明简易数字钟的设计中,主要运用了分频器,六十进制计数器,二十四进制计数器,动态扫描显示电路,选择器,按键消抖以及门电路等数字电路方面的知识;可以在简易数字钟的基础上加上24小时和12小时转换功能,秒表功能,闹钟功能,这样更能满足人们的使用需求;总结设计的收获与体会简易数字钟的设计及实验当中,我坚持了下来,上学期的数电我学的并不好,而且对软件应用的接受能力不强,刚开始的时候做的很慢,看到别人都做好了,心里比较着急,于是,我找出了数电课本,复习所涉及的知识点,并练习所学软件,终于有了进步,可以更上同学们的进度,但数字钟的设计一直困扰我,看到别人拓展功能都做好了,自己基本的都还没做好,心里很急;在设计的过程中,碰到了很多的困难,遇到了很多问题,不断地思考与尝试,以及向同学和老师请教,但还是没能完全设计好,以后有时间还得多去实验室尝试,争取做好一些拓展功能;通过这次设计,对上学期学习的数字电路的相关知识得到了复习和巩固,也查阅了一些相关的资料,也加深了我对数字电路应用的理解,总之这次的电子技术课程设计受益匪浅;参考文献:基于FPGA的数字电路系统设计西安电子科技大学出版社数字电子技术基础电子工业出版社数字电路与逻辑设计实验及应用人民邮电出版社附图1.分频模块分频器仿真波形下图为分频器线路图2.60进制计数器模块60进制计数器仿真波形3.24进制计数器模块24进制计数器仿真波形4. 4位显示译码模块七段显示译码器模块七段显示译码器部分采用AHDL硬件描述语言设计,语句如下:subdesign ymqdata_in3..0 :input;a,b,c,d,e,f,g :output;begintabledata_in3..0 =>a,b,c,d,e,f,g;b"0000" =>1,1,1,1,1,1,0;b"0001" =>0,1,1,0,0,0,0;b"0010" =>1,1,0,1,1,0,1;b"0011" =>1,1,1,1,0,0,1;b"0100" =>0,1,1,0,0,1,1;b"0101" =>1,0,1,1,0,1,1;b"0110" =>0,0,1,1,1,1,1;b"0111" =>1,1,1,0,0,0,0;b"1000" =>1,1,1,1,1,1,1;b"1001" =>1,1,1,0,0,1,1;b"1010" =>1,1,1,0,1,1,1;b"1011" =>0,0,1,1,1,1,1;b"1100" =>1,0,0,0,1,1,0;b"1101" =>0,1,1,1,1,0,1;b"1110" =>1,0,0,1,1,1,1;b"1111" =>1,0,0,0,1,1,1;end table;end;整个4位显示译码模块四位显示译码模块。

数字电路课程设计数字时钟报告

数字电路课程设计数字时钟报告

数字电路课程设计数字时钟报告数字电路课程设计数字时钟介绍•数字电路课程设计是一门重要的电子工程课程,旨在培养学生在数字电路设计领域的能力和技巧。

•数字时钟是数字电路设计项目中一个典型的案例,可以通过该项目加深对数字电路原理和实践的理解。

设计目标•开发一个功能完备、性能稳定的数字时钟电路。

•通过数字时钟项目,培养学生的数字电路设计能力、团队合作能力和解决问题的能力。

设计步骤1.分析需求:确定数字时钟的功能和性能要求,例如显示精度、时钟模式、闹钟功能等。

2.确定器件:根据设计需求,选择适合的数字电路和组件,如时钟发生器、计数器、显示器等。

3.设计电路原理图:根据需求和选择的器件,绘制数字时钟的电路原理图。

4.进行逻辑设计:使用数字逻辑门和触发器等器件,实现数字时钟的各个功能模块。

5.进行测试:将电路搭建并连接,对数字时钟进行功能和性能测试。

6.优化和修改:根据测试结果,优化和修改电路设计,确保数字时钟的稳定性和可靠性。

7.编写报告:总结设计过程,记录问题和解决方案,描述数字时钟的设计和实现。

设计要点•确保数字时钟的显示精度和稳定性,避免数字闪烁或误差较大。

•采用合适的计数器和时钟发生器,确保数字时钟能准确计时和显示时间。

•考虑数字时钟的功耗和可靠性,选择适合的电源和元器件。

•在设计中考虑数字时钟的扩展性和功能性,如增加闹钟、温湿度显示等功能。

结论•数字时钟设计是数字电路课程中有趣而实用的项目,能够培养学生的实践能力和创造力。

•通过数字时钟项目,学生可以通过实践掌握数字电路设计的方法和技巧,提高解决问题的能力和团队协作能力。

•数字时钟设计也是一个不断优化和改进的过程,通过反复测试和修改,可以得到一个性能稳定、功能完备的数字时钟电路。

多功能数字电子钟的设计与实现

多功能数字电子钟的设计与实现

目录1 引言 (4)1.1 多功能电子钟的研究背景和选题意义 (4)2 方案设计与选择: (4)3 整体设计思路 (5)4、主要元件介绍 (7)4.1 AT89C51单片机芯片 (7)4.2 数码管 (7)4.3 7407驱动器 (8)5 电路设计 (9)5.1整体设计 (9)5.2 分块设计 (9)5.2.1 输入模块 (10)5.2.2 输出模块 (10)5.2.3 晶振与复位电路: (11)5.3 整体电路图 (11)6.1程序思路 (13)6.2程序设计步骤 (14)6.3程序的主要模块 (14)6.3.1延迟程序 (14)6.3.2 中断服务子程序: (14)6.3.3 主程序 (16)6.3.4显示程序 (16)6.3.5 闹铃程序和定时程序 (17)6.4程序调试 (17)7 日历功能的实现 (17)8 电子钟设计中遇到的问题及其解决方法 (19)参考文献............................................ 错误!未定义书签。

致谢 (24)声明 (25)摘要:本文所要论述的课题,主要使用以AT89C51芯片作为核心,运用12MHZ晶振与单片机AT89C51相连接,采用动态扫描方式显示作为主要论述中心。

通过使用单片机和在显示电路加上7407驱动电路,以达到实现在6个LED数码管上显示出时间,日期,定时,闹钟四大功能,并通过键位操作,达到日期设定,时间调整,闹钟设定,倒计时的功能。

在实现各个功能的时候数进行相对应的显示,蜂鸣器的启动和键位控制声音停止。

软件部分,本设计主要采用微机汇编语言进行实现,其中分为显示,调时,延迟,闹钟,日起调整等部分。

关键词:多功能电子钟;单片机技术;微机汇编语言;动态扫描技术Design of LED Show Electric Clock Based onMicroprocessorThis design uses a 12 MHZ crystal to connect with the machine AT89C51, takes AT89C51 chips as core. It adopts the dynamic state of the scanning method to show. Using this MCU and 7407 drive electric circuit, we are able to show date, time, fix the time, make bell on 6 LED figures tubes. We can use 6 key to constitute date, adjust time, enact the bell and set the countdown timer. When the electric carrying out each function, the figures tube show the tight function .When the alarm clock and the countdown timer were reached, the voice begins. While 3 or 4 is pressed, the voice stops. The software part is realized by assembler language. It was divided into to show, delay, adjust, make bell, in fixed time, adjust date etc. part. We get the end purpose combining the software and the hardware.Keyword:Electric clock MCU Dynamic state scaning assembler language1 引言1.1 多功能电子钟的研究背景和选题意义时间对于人类的重要意义不言而喻,正如郭沫若老先生曾经说过的一样:时间就是生命,时间就是速度,时间就是力量。

[数电课程设计数字电子时钟的实现] 电子时钟课程设计

[数电课程设计数字电子时钟的实现] 电子时钟课程设计

[数电课程设计数字电子时钟的实现] 电子时钟课程设计课程设计报告设计题目:数字电子时钟的设计与实现班级:学号:姓名:指导教师:设计时间:摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。

诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。

功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。

通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。

通过仿真过程也进一步学会了Multisim7的使用方法与注意事项。

本次所要设计的数字电子表可以满足使用者的一些特殊要求,输出方式灵活,如可以随意设置时、分、秒的输出,定点报时。

由于集成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。

关键词:数字钟,组合逻辑电路,时序电路,集成电路目录摘要 (1)第1章概述············································3第2章课程设计任务及要求·······························42.1设计任务············································42.2设计要求············································4第3章系统设计··········································63.1方案论证············································63.2系统设计············································63.2.1结构框图及说明·································63.2.2系统原理图及工作原理···························73.3单元电路设计········································83.3.1单元电路工作原理·······························83.3.2元件参数选择···································14第4章软件仿真·········································154.1仿真电路图··········································154.2仿真过程············································164.3仿真结果············································16第5章安装调试··········································175.1安装调试过程········································175.2故障分析············································17第6章结论···············································18第7章使用仪器设备清单··································19参考文献·················································19收获、体会和建议·········································20第1章概述数字集成电路的出现和飞速发展,以及石英晶体振荡器的广泛应用,使得数字钟的精度稳定度远远超过了老式的机械表,用数字电路实现对“时”、“分”、“秒”数字显示的数字钟在数字显示方面,目前已有集成的计数、译码电路,它可以直接驱动数码显示器件,也可以直接采用才COMS--LED光电组合器件,构成模块式石英晶体数字钟。

电子综合课程设计多功能数字电子时钟

电子综合课程设计多功能数字电子时钟

电子综合课程设计多功能数字电子时钟一、简介本项目为电子综合课程设计,设计一款多功能数字电子时钟。

该时钟具有显示时间、日期、温度、闹钟等多种功能,配备有定时开关机、夜光和音量调节等功能。

此外,该时钟外观简约且美观,设计师充分考虑到用户的使用需求,实现了人性化设计,易于操作,成为一款功能强大、实用性高、适用范围广的电子产品。

二、设计思路1.硬件设计时钟采用STM32F0308T6单片机作为主控芯片,用DS1302实时时钟芯片作为时钟源。

为了实现多种功能,我们在主控出增加了一个9612音频电路芯片,用作时钟的音量控制,以及闹钟的提示铃声。

此外,为了使时钟更好地适应使用场景,设计师还添加了光敏电阻实现夜光功能,铺上黄色的背光板后又实现了多种颜色的配合,能够适应不同场合的需求。

2.软件设计在软件方面,使用了C语言编程。

主要功能包括时间、日期、温度显示、闹钟、夜光和音量控制等。

其中,时间及日期的显示为主界面,在时钟界面下按下“menu”可以进入温度、夜光、音量调节、闹钟设置等模式,按下确定键可进入对设置的编辑,方便用户按照自己需求进行调整。

三、功能介绍1.时间、日期显示时钟在主界面下,可显示当前时间和日期,使用户随时了解到时间和日期的变化。

2.温度显示在“menu”界面下,可显示当前的温度,方便用户了解室内温度的变化。

3.闹钟设置在“menu”模式下,用户可通过设置闹钟时间来实现定时提醒的功能。

4.夜光当环境光线较暗时,时钟的背光板将亮起,以便用户观看时间。

5.音量调整可通过音量调节的按键对音量进行调整,以方便用户按照自己的需要进行调节。

6.定时开关机在控制位置,实现了产品的定时开关机功能,可自动开机,实现了对环境的节约。

四、总结该多功能数字电子时钟的设计工艺精良,功能强大、易于操作、实用性高、适用性广泛。

整个设计过程、选材及工艺都体现了电子产品的优越性。

在真正的市场环境下,这款多功能电子时钟具备广泛的市场适应性,在现代家居、办公室等各个场合都具有很好的应用前景。

多功能数字时钟课程设计

多功能数字时钟课程设计

多功能数字时钟课程设计一、课程目标知识目标:1. 学生能理解数字时钟的基本构成,掌握时、分、秒的概念及其相互关系。

2. 学生能运用所学知识,分析多功能数字时钟的显示原理和编程逻辑。

3. 学生掌握基本的数字逻辑运算,并能将其应用于时钟设计中。

技能目标:1. 学生能通过实际操作,学会使用编程软件进行数字时钟的设计与编程。

2. 学生能够运用问题解决策略,调试并优化数字时钟程序,提高程序运行效率。

3. 学生能够运用所学知识,创作具有个性化功能的数字时钟,培养创新意识和实践能力。

情感态度价值观目标:1. 学生在学习过程中,培养对信息技术学科的兴趣,激发学习热情。

2. 学生通过团队协作,培养沟通、交流和合作的能力,增强团队意识。

3. 学生通过解决实际问题,体会科技改变生活的魅力,增强社会责任感和使命感。

课程性质:本课程为信息技术学科,结合学生年级特点,注重理论与实践相结合,培养学生的动手操作能力和创新思维。

学生特点:学生具备一定的信息技术基础,好奇心强,喜欢动手操作,但逻辑思维和问题解决能力有待提高。

教学要求:教师应关注学生的个体差异,提供有针对性的指导,引导学生通过自主学习、合作探究和实践活动,达到课程目标,提高学生的信息技术素养。

二、教学内容1. 数字时钟基础知识:时钟的演变、数字时钟的构成、时、分、秒的概念及其进制关系。

教材章节:第一章 认识数字时钟2. 数字时钟显示原理:LED显示技术、点阵显示原理、数字时钟显示编程。

教材章节:第二章 数字时钟显示技术3. 数字时钟编程基础:基本逻辑运算、程序流程控制、函数的运用。

教材章节:第三章 数字时钟编程基础4. 多功能数字时钟设计与实现:设计思路、编程实践、调试与优化。

教材章节:第四章 多功能数字时钟设计与实现5. 创新实践:个性化数字时钟设计、功能拓展、作品展示。

教材章节:第五章 创新实践与作品展示教学进度安排:1. 数字时钟基础知识(1课时)2. 数字时钟显示原理(2课时)3. 数字时钟编程基础(3课时)4. 多功能数字时钟设计与实现(4课时)5. 创新实践(2课时)教学内容科学系统,注重理论与实践相结合,引导学生通过自主学习、合作探究和实践操作,掌握数字时钟的设计与编程,培养学生的创新能力和信息技术素养。

数电多功能电子时钟课程设计综述

数电多功能电子时钟课程设计综述

电子技术课程设计题目名称多功能电子时钟设一、设计题目:多功能数字钟电路设计二、设计任务和要求:1. 以十进制数字形式显示时、分、秒的时间。

2.小时计数器的计时要求为“24翻1”,分钟和秒的时间要求为60进位。

3. 能实现手动快速校时、校分;4. 具有整点报时功能,报时声响为四低一高,最后一响为整点。

5. 具有定制控制(定小时)的闹钟功能。

6. 画出完整的电路原理图三、题目分析或内容摘要数字钟是一个简单的时序组合逻辑电路,数字钟的电路系统主要包括时间显示,脉冲产生,报时,闹钟四部分。

脉冲产生部分包括振荡器、分频器;时间显示部分包括计数器、译码器、显示器;报时和闹钟部分主要由门电路构成,用来驱动蜂鸣器。

与传统的机械钟相比,数字钟具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。

小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。

四、整体构思或方案选择:数字钟电路系统由主体电路和扩展电路两大部分组成。

其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能:报时和定时功能。

该数字钟系统的工作原理是:振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。

秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。

计数器的输出分别经译码器送显示器显示。

计时出现误差时,可以用校时电路校时、校分。

各扩展电路必须在主体电路正常运行的情况下才能进行功能扩展。

另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。

报时声响为前四次低最后一高,最后一响为整点。

最后设定闹铃功能,即可在整点设置闹铃。

五具体实现:1.总体方框图定时器图1.总体方框图2.工作原理(1)振荡器是数字钟的核心。

振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度。

一般来说振荡器的频率越高,计时精度越高。

通信工程专业课程设计报告--多功能数字钟的设计与实现

通信工程专业课程设计报告--多功能数字钟的设计与实现

一、设计任务及要求:(1)拥有正常的时、分、秒计时功能。

(2)能利用实验板上的按键实现校时、校分及秒清零功能。

(3)能利用实验板上的扬声器做整点报时。

(4)闹钟功能。

(5)在QUARTUS Ⅱ中采用层次化设计方法进行设计。

(6)完成全部电路设计后在实验板上下载,验证设计课题的正确性。

二、多功能数字钟的总体设计方案根据总体设计框图,可以将整个系统分成6个模块来实现,分别是计时模块、校时模块、整点报时模块,分频模块,动态显示模块,闹钟模块。

设计总图:1.计时模块该模块的设计相对简单,使用一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。

二十四进制的计数器用于计时,六十进制计数器用于计分和计秒。

只要给秒计数器一个1Hz的时钟脉冲,则可以进行正常计时。

分计数器以秒计数器的进位作为计数脉冲,小时计数器以分计数器的进位作为计数脉冲。

clk ql[3..0]qh[3..0]tccnt24instclk clr ql[3..0]qh[3..0]tccnt60inst1NOTinst15VCCjm[3..0]jm[7..4]jh[3..0]jh[7..4](24进制计数器构成时计数器,60进制计数器构成的秒、分计数器)24进制的仿真图:60进制的仿真图以下是计时模块设计VHDL语言:(1)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt24 isport(clk:in std_logic;ql,qh:out std_logic_vector(3 downto 0);tc:out std_logic);end cnt24;architecture one of cnt24 isbeginprocess(clk)variable iql,iqh:std_logic_vector(3 downto 0);beginif clk'event and clk='1' then iql:=iql+1;if iql="1010" then iqh:=iqh+1; iql:="0000";end if;if (iqh="0010")and(iql="0100") then tc<='0'; iqh:="0000"; iql:="0000";end if;end if;ql<=iql; qh<=iqh;end process;end one;(2)library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt60 isport(clk,clr:in std_logic;ql,qh:buffer std_logic_vector(3 downto 0);tc:out std_logic);end cnt60;architecture behavor of cnt60 isbegintc<='0' when(clk='1' and ql="0000" and qh="0110") else '1';process(clk,clr,ql,qh)variable iql,iqh:std_logic_vector(3 downto 0);beginif(clr='0'or (iql="0000" and iqh="0110"))theniql:="0000";iqh:="0000";else if(clk'event and clk='1')theniql:=iql+1;if(iql="1010")theniql:="0000";iqh:=qh+1;end if;end if;end if;ql<=iql;qh<=iqh;end process;END behavor;2.校时模块该模块设计要求实现校时、校分及秒清零的功能。

数电课程设计报告-多功能数字钟电路设计与制作

数电课程设计报告-多功能数字钟电路设计与制作

《多功能数字钟电路设计与制作》课程设计报告班级:建筑设施智能技术二班姓名:*****学号:********指导教师:*****2010年11月19日目录一、内容摘要 (3)二、设计内容及要求 (3)三、总设计原理 (3)四、单元电路的设计 (6)1、基于NE555的秒方波发生器的设计 (5)2、基于74LS160的12\60进制计数器的设计 (7)3、校时电路的设计 (9)五、设计总电路图 (10)六、主要仪器及其使用方法 (10)七、设计过程中的问题及解决方案 (10)八、心得体会 (12)九、附录 (13)多功能数字钟的电路设计与制作一、内容摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

它可以实现数字电子时钟功能、仿电台整点报时功能、定时功能这三项基本功能。

二、设计内容及要求:①基本功能:以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。

②扩展功能:定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。

三、总设计原理:(1)数字电子计时器组成原理图1数字电子计时器的结构框图(2)用74LS160实现12进制计数器(3)校时电路当刚接通电源或时钟走时出现误差时,都需要进行时间的校准。

校时是数字钟应具有的基本功能,一般电子钟都有时、分、秒校时功能。

为使电路简单,这里只进行分和小时的校准。

校时可采用快校时和慢校时两种方式。

校时脉冲采用秒脉冲,则为快校时;如果校时脉冲由单次脉冲产生器提供则为慢校时。

图3中C 1、 C 2用于消除抖动。

图3 校时电路CLK图2 用整体置零法构成的12进制计数器进位3.3K3.3K1C 1=C 2=0.01校4、时基电路图4 由555定时器构成的多谐振荡器5、定时控制电路数字钟在指定的时刻发出信号,或驱动音响电路“闹时”,或对某装置进行控制,都要求时间准确,即信号的开始时刻与持续时间必须满足规定的要求。

数字电子技术课程设计——数字钟

数字电子技术课程设计——数字钟

数字电子技术课程设计——数字钟一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,和机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计和制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习和掌握各种组合逻辑电路和时序电路的原理和使用方法.二、设计要求(1)设计指标①时间以12小时为一个周期;②显示时、分、秒;③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

(2)设计要求①画出电路原理图(或仿真电路图);②元器件及参数选择;③电路仿真和调试;④PCB文件生成和打印输出。

(3)制作要求自行装配和调试,并能发现问题和解决问题。

(4)编写设计报告写出设计和制作的全过程,附上有关资料和图纸,有心得体会。

三、原理框图1.数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能和标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

(a)数字钟组成框图2.晶体振荡器电路晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。

不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计任务书题目: 多功能数字钟的设计与实现初始条件:本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。

用数码管显示时间计数值。

要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。

2、技术要求:1)设计一个数字钟。

要求用六位数码管显示时间,格式为00:00:00。

2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。

3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。

4)设计提供连续触发脉冲的脉冲信号发生器,5)具有校时单元、闹钟单元和整点报时单元。

6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。

3、查阅至少5篇参考文献。

按《******大学课程设计工作规范》要求撰写设计报告书。

全文用A4纸打印,图纸应符合绘图规范。

时间安排:1、2013年 3 月18 日,布置课设具体实施计划与课程设计报告格式的要求说明。

2、2013 年3 月22日至2013 年5 月10 日,方案选择和电路设计。

3、2013 年5 月25 日至2013 年7 月2 日,电路调试和设计说明书撰写。

4、2013 年7 月5 日,上交课程设计成果及报告,同时进行答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日目录1 引言 (1)1.1 数字钟简介 (1)1.2 EWB简介 (1)2 方案选择 (3)3 系统框图 (4)4 分电路设计 (5)4.1 脉冲产生电路 (5)4.1.1设计要求 (5)4.1.2所需元件 (6)4.1.3元件介绍 (6)4.1.4参数计算 (7)4.1.5电路设计 (8)4.2计数电路 (9)4.2.1秒电路 (9)4.2.2分电路 (11)4.2.3时电路 (13)4.3显示电路 (14)4.3.1所需元件 (14)4.3.2元件介绍 (14)4.3.3原理说明 (14)4.3.4电路设计 (15)4.4整点报时电路 (15)4.4.1设计要求 (15)4.4.2所需元件 (15)4.4.3元件介绍 (15)4.4.4参数计算 (16)4.4.5原理说明 (16)4.4.6电路设计 (16)4.5校时电路 (17)4.5.1设计要求 (17)4.5.2所需元件 (17)4.5.3元件介绍 (18)4.5.4原理说明 (18)4.5.5电路设计 (18)4.6闹钟电路 (18)4.6.1设计要求 (18)4.6.2所需元件 (18)4.6.3元件介绍 (19)4.6.4原理说明 (21)4.6.5电路设计 (21)5总电路图 (22)6心得体会 (23)7元件清单 (24)8参考文献 (27)摘要数字钟是一种用数字电路技术实现时、分、秒计时的钟表。

与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,如今已得到广泛的使用。

数字钟的设计方法有许多种,例如可用中小规模集成电路组成数字钟,也可以利用单片机来实现数字钟等等。

这些方法都各有其特点。

本次设计用的是中小规模集成电路,以实现数字钟的显示、校时、闹钟、报时等功能。

EWB软件,全称为ELECTRONICS WORKBENCH EDA,是交互图像技术有限公司在九十年代初推出的EDA软件,用于模拟电路和数字电路的混合仿真。

EWB是一款小巧,但是仿真功能十分强大的软件。

本次设计将用EWB软件进行仿真。

关键词:数字钟;设计;EWB;仿真AbstractDigital clock is a sort of clock which can be made to time hours、minutes and seconds through digital circuit technology. Compared with mechanical clock, digital clock has higher accuracy and intuition and longer service life. It has been widely used nowadays. There are mang kinds of methods to design the digital clock. For example, small and medium scale integrated circuit can be used to design it. Micro controller can also de used to design digital clock and etc. There are different characteristics in different methods. This time, small and medium scale integrated circuit will be used to achieve the functions of the digital clock such as display、timing、alarm clock、giving the correct time.EWB, whose full name is ELECTRONICS WORKBENCH EDA, is the Interactive Image Technology Ltd launched in the early ninety’s EDA software, which can be used to achieve the mixed simulation of analogous circuit and digital circuit. EWB is a small software but it has a powerful function of simulation. The simulation of this design will be made through EWB.Keywords:digital clock;design;EWB;simulation1 引言1.1 数字钟简介数字钟是日常生活中常见的一种工具,大到机场等公共场所的时间屏幕,小到我们的手表、闹钟等。

数字钟实际上是一个对标准频率进行计数的计数电路。

它的计时周期是24小时,由于计数器的起始时间不可能与标准时间(如北京时间)一致所以采用校准功能和报时功能。

数字钟是由振荡器、计数器、译码器、显示器、校时电路、报时电路、闹钟电路组成,振荡器产生的信号作为秒脉冲,秒脉冲送入计数器,计数结果通过时、分、秒译码器显示时间。

秒脉冲是整个系统的时基信号,它直接决定计时系统的精度,将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分钟,发出一个时脉冲信号,该信号将被送到时计数器。

时计数器采用24进制计时器,可实现对一天24小时的计时。

译码显示电路将“时”、“分”、“秒”计数器的输出状态通过显示驱动电路,七段显示译码器译码,在经过六位LED七段显示器显示出来。

整点报时电路时根据计时系统的输出状态产生一个脉冲信号,然后去触发一音频发生器实现报时。

校准电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。

闹钟电路则是通过数值比较器来实现。

1.2 EWB简介EWB是一种电子电路计算机仿真软件,它被称为电子设计工作平台或虚拟电子实验室,英文全称为Electronics Workbench。

EWB是加拿大Interactive Image Technologies 公司于1988年开发的。

EWB以SPICE3F5为软件核心,增强了其在数字及模拟混合信号方面的仿真功能。

SPICE3F5是SPICE的最新版本,SPICE自1972年使用以来,已经成为模拟集成电路设计的标准软件。

相对其它EDA软件而言,它是个较小巧的软件,只有16M,功能也比较单一,就是进行模拟电路和数字电路的混合仿真,但它的仿真功能十分强大,可以几乎100%地仿真出真实电路的结果,而且它在桌面上提供了万用表、示波器、信号发生器、扫频仪、逻辑分析仪、数字信号发生器、逻辑转换器等工具,它的器件库中则包含了许多大公司的晶体管元器件、集成电路和数字门电路芯片,器件库中没有的元器件,还可以由外部模块导入,在众多的电路仿真软件中,EWB是最容易上手的,它的工作界面非常直观,原理图和各种工具都在同一个窗口内,未接触过它的人稍加学习就可以很熟练地使用该软件,对于电子设计工作者来说,它是个很好的EDA工具,许多电路无需动用烙铁就可得知它的结果,而且若想更换元器件或改变元器件参数,只需点点鼠标即可,它也可以作为电学知识的辅助教学软件使用。

2 方案选择方案一:采用中小规模集成电路实现采用中小规模集成逻辑电路设计可以实现数字钟的时、分、秒计时功能、定点报时功能、校时功能、闹钟功能,计时模块采用时钟信号触发,不需要程序控制。

所有功能模块的主要部件都使用集成芯片。

此方案正是所学的知识的实际应用,可以加深对逻辑电路的了解,符合此次设计的要求。

方案二:EDA技术实现采用EDA作为主控制器外围电路进行电压,时钟控制、键盘和LED控制。

但此方案逻辑电路复杂,外围设备多,灵活性较低,不利于扩展。

且涉及的大多是未学的知识,不能达到此次设计的目的。

方案三:单片机编程实现利用AT89S51单片机和74HC573八位锁存器以及利用C语言对AT89S51进行编程来实现数字钟的时间显示。

单片机的相关知识尚未学习,而且运用单片机也不符合运用数字电路完成设计的初衷,不能达到此次设计的目的。

综上,根据自身的知识和方案比较,采用方案一,因为方案一简便灵活,扩展性好,而且正好是所学知识的应用,可以对逻辑器件的使用增加经验,同时符合此次数字电路知识设计的要求。

3 系统框图图3.1 系统框图4 分电路设计4.1 脉冲产生电路4.1.1设计要求要求设计出一个能产生一秒周期信号的电路。

用555定时器作为基础,调节外界参数闹钟电路R和C,使得振荡周期为1秒。

4.1.2所需元件导线若干、555定时器、1000kΩ、200kΩ、20kΩ、1kΩ、300Ω、40Ω、8Ω电阻各一个、1μF、0.01μF电容各一个。

4.1.3元件介绍555定时器:555定时器是一种模拟和数字功能相结合的中规模集成器件。

内部结构图:图4-1 555定时器内部结构图引脚图:引脚功能注释:表4-1 555定时器引脚功能功能表:表4-2 555定时器功能表4.1.4参数计算由555定时器构成的多谐振荡器由电阻R1、R2,电容C 以及旁路电容Cp 等组成。

相关文档
最新文档