(完整word版)数字系统设计试卷2012A卷

合集下载

2012年下半年A卷(修改)

2012年下半年A卷(修改)

(本试卷答卷时间为120分钟,满分100分)试题一、计算机基础知识(每个空1分,共20分)1.标准ASCII码的码长是( )。

(1)A.6 B.7 C.8 D.92.五笔字型输入法属于( )。

(2) A.音码输入法 B.形码输入法C.联想输入法 D.音形结合输入法3.计算机中的字节是个常用的单位,它的英文名字是( )。

(3) A.byte B.bitC.baud D.bout4.计算机硬件的五大基本构件包括:( ) 、运算器、存储器、输入设备、输出设备。

(4) A.显示器 B.鼠标C.控制器 D.硬盘5.计算机的内存储器比外存储器(5) 。

(5) A.更便宜 B.虽贵但能存储更多的信息C.存取速度更快 D.存储容量更大6.UPS是(6) 。

(6) A.控制器 B.运算器C.不间断电源 D.存储器7.计算机能够按照人们的意志自动进行工作,最直接原因是采用了(7)。

(7) A.数值 B.存储程序思想C.程序设计语言 D.高速电子元件8.一般使用高级语言编写的程序称为(8)。

(8) A.源程序 B.编译程序C.解释程序 D.目标程序9.内存中每个基本单位都被赋予一个唯一的序号,这个序号称之为(9)。

(9) A.字节 B.地址C.标号 D. 容量lO.按32×32点阵存放1000个汉字,所占存储空间(10)。

(10)A.125KB B.128KBC.500KB D.1000KB11. 十进制数12转换成二进制数是(11)A.00010010 B. 00001110C.00011010 D. 0000110012.扩展名是.bak的文件称作(12)(12)A.文本文件 B.系统文件C.备份文件 D.批处理文件13.专门为某种用途而设计的数字计算机,称为 (13)计算机(13)A.普通 B.专用C.模拟 D.通用14.计算机的字长取决于(14)的宽度。

(14)A.地址总线 B.控制总线C.通信总线 D.数据总线15.下列文件格式中,属于声音文件格式的是一(15) 。

数字电子应用技术基础统考试卷(A)2012.12.12(汽电)答案

数字电子应用技术基础统考试卷(A)2012.12.12(汽电)答案

浙江机电职业技术学院2012/2013学年第一学期试卷《数字电子技术基础》课程A 卷试卷(闭卷)专业: 班级: 姓名: 学号:单项 一10 二30 三10 四50 总计 批阅得分一、填空题(请把答案填入答题区,在其它区域答题无效;每空1分,共10分) 答题区:1.① ② ③ 2.④ ⑤ 3.⑥4.⑦ ⑧5.⑨ 6.⑩1.H (36) (①110110)B =(②54)D =(③01010100)8421BCD2.如果输入与输出的关系是“有1出0,全0出1”,这是(④或非[或答“非与”])逻辑关系;“全1出1,有0出0”,这是(⑤与)逻辑关系。

3.一个班级有64名学生,现采用二进制编码器对每位学生进行编码,则编码器输出至少需要(⑥6)位才能满足要求。

4.译码器有多个输入和多个输出端,每输入一组二进制代码,只有(⑦1)个输出端有效;n 个输入端最多可有(⑧n2)个输出端。

5.若一个基本RS 触发器在正常工作时,不允许输入R=S=1的信号,则它的约束条件是(⑨RS=0)。

6.一个五进制计数器也是一个(⑩5)分频器。

二.选择题(请把答案填入答题区,在其它区域答题无效;每小题2分,共30分)1 2 3 4 5 6 7 8 9 10 11 12 13 14 15装订1.以下表达式中符合逻辑运算法则的是(D )。

A .2C C=C ⋅ B .1+1=10 C .0<1D .A +1=12.有一个两输入端A 、B 的门电路,当控制端A =1时,门输出为B ,当控制端A =0时,门输出为B ,这个门是(B )。

A .与非门B .异或门C .或非门D .同或门 3.n 个变量可以构成的最小项个数为(C )。

A. nB. 2nC. n2 D. n21- 4.TTL 与非门的输入端在下列情况下属于逻辑1的是(D )。

A . 输入端接地B .输入端通过500欧姆电阻接地C .输入端接1.8V 的电源D .输入端悬空5.如图1所示电路的输出F 为(C )。

2012年12月考试计算机基础A卷(准确答案)

2012年12月考试计算机基础A卷(准确答案)
正确答案:打开“文件”菜单的“打包”选项,系统会出现“打包向导”界面。
解题方案:参见文件打包相关内容。
反馈信息:
3. Windows XP操作系统中,复制与移动文件或文件夹可以通过哪些方式实现?(本题分数:5分,本题得分:3分。)
正确答案:一、使用“文件和文件夹任务”操作;二、使用菜单操作;三、利用快捷菜单操作;四、用鼠标拖动文件或文件夹进行操作。
A、正确B、错误
正确答案:B
解题方案:参见计算机网络的功能相关内容。
8. DVD的容量比VCD容量小。(本题分数:2分,本题得分:2分。)
A、正确B、错误
正确答案:B
解题方案:DVD的容量比VCD容量大。
9.在PowerPoint中,在编辑过程中,大纲窗格中的文字内容与编辑窗格中的文字内容是同步改变的,并可以相互双向响应。(本题分数:2分,本题得分:2分。)
正确答案:0
解题方案:参见二进制运算规则。
反馈信息:
2.八个二进制位称为一个_ 1,是计算机的最小存储单元。(本题分数:2分,本题得分:2分。)
正确答案:字节
解题方案:参见计算机常用术语相关内容。
反馈信息:
3.计算机系统是由_ 1和2 _两大部分组成的。(本题分数:2分,本题得分:2分。)
正确答案:硬件系统;软件系统
A、二进制B、八进制C、十进制D、十六进制
正确答案:A,B,C,D
解题方案:参见二进制运算规则。
2. Windows“画图”工具具有的功能有1 (本题分数:4分,本题得分:0分。)
A、整体把握图片B、透明处理图片C、快速粘贴选择区域D、准确粘贴文件
正确答案:A,B,C,D
解题方案:参见“画图”应用程序相关内容。

《 数字系统设计 》试卷含答案

《   数字系统设计   》试卷含答案

,考试作弊将带来严重后果!华南理工大学期末考试《数字系统设计》试卷1. 考前请将密封线内各项信息填写清楚;所有答案请直接答在试卷上(或答题纸上);.考试形式:开(闭)卷;(每小题2分,共16分)大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理( C )CPLD即是现场可编程逻辑器件的英文简称;CPLD是基于查找表结构的可编程逻辑器件;早期的CPLD是从GAL的结构扩展而来;在Altera公司生产的器件中,FLEX10K 系列属CPLD结构;在VHDL语言中,下列对时钟边沿检测描述中,错误的是( D )then ...;then ...;then ...;在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正确( A )PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一.敏感信号参数表中,应列出进程中使用的所有输入信号;进程由说明部分、结构体部分、和敏感信号参数表三部分组成;当前进程中声明的信号也可用于其他进程基于EDA软件的FPGA / CPLD设计流程,以下流程中哪个是正确的:( C )原理图/HDL文本输入→适配→综合→时序仿真→编程下载→功能仿真→硬件测试原理图/HDL文本输入→功能仿真→综合→时序仿真→编程下载→适配→硬件测试;原理图/HDL文本输入→功能仿真→综合→适配→时序仿真→编程下载→硬件测试原理图/HDL文本输入→适配→时序仿真→编程下载→功能仿真→综合→硬件测试。

关于综合,从输入设计文件到产生编程文件的顺序正确的是:(B).逻辑综合→高层次综合→物理综合;B. 高层次综合→逻辑综合→物理综合;C. 物理综合→逻辑综合→高层次综合;D. 高层次综合→逻辑综合→时序综合;6. 进程中的信号赋值语句,其信号更新是( C )A. 按顺序完成;B. 比变量更快完成;C. 在进程的挂起时完成;D. 都不对。

2012(广东卷)试题及答案A卷Word版 缺答案

2012(广东卷)试题及答案A卷Word版 缺答案

2012年普通高等学校招生全国统一考试(广东卷)A理科综合本试卷共10页,36小题,满分300分。

考试用时150分钟。

注意事项:1.答卷前,考生务必用黑色字迹的钢笔或签字笔将自己的姓名和考生号、试室号、座位号填写在答题卡上。

用2B铅笔将试卷类型(A)填涂在答题卡相应位置上。

将条形码横贴在答题卡右上角“条形码粘贴处”。

2.选择题每小题选出答案后,用2B铅笔把答题卡上对应题目选项的答案信息点涂黑,如需改动,用橡皮擦干净后,再选涂其他答案,答案不能答在试卷上。

3.非选择题必须用黑色字迹钢笔或签字笔作答,答案必须写在答题卡各题目指定区域内相应位置上;如需改动,先划掉原来的答案,然后再写上新的答案;不准使用铅笔盒涂改液。

不按以上要求作答的答案无效。

4.考试必须保持答题卡的整洁。

考试结束后,将试卷和答题卡一并交回。

可能用到的相对原子质量:H 1 C 12 N 14 O 16 Al 27 S 32 Cl 35.5 K 39 Ca 40 一、单项选择题:本大题共16小题,每小题4分,共64分。

在每小题给出的四个选项中,只有一个选项符合题目要求,选对的得4分,选错或不答的得0分。

1.有关生物膜结构与功能的叙述,正确的是A.膜载体蛋白的合成不需要ATPB.葡萄糖跨膜运输不需要载体蛋白C.线粒体外膜与内膜的主要功能不同D.变形虫和草履虫的细胞膜基本组成成分不同2.培育草莓脱毒苗所采用的主要技术是A.组织培养B.细胞杂交C.显微注射D.核移植3.分析下表,可推测注:“+”显色,“++”显色更深;“-”不显色.A.甲溶液含有淀粉酶B.乙溶液含有还原糖C.混合溶液不含淀粉D.混合溶液含有淀粉酶4.有关土壤动物研究的叙述,正确的是A.土壤动物群落不存在分层现象B.土壤动物中间关系主要是互利共生C.土壤盐碱度不同,土壤动物群落结构有差异D.随机扫取表层土取样,可以调查土壤小动物类群丰富度5、有关人体免疫的叙述,正确的是A 机体主要依赖免疫调节维持内环境稳态B 病原微生物侵袭,可引起机体产生特异性免疫C 特异性免疫过程中,只有T 细胞产生记忆细胞D 如果神经中枢受损,机体的特异性免疫功能完全丧失6 、 科学家用人工合成的染色体片段,成功替代了酵母菌的第6号和第9号染色体的部分片段,得到的重组酵母菌能存活 , 未见明显异常 ,关于该重组酵母菌的叙述,错误的是A 还可能发生变异B 表现型仍受环境的影响C 增加了酵母菌的遗传多样性D 改变了酵母菌的进化方向7 、化学与生活息息相关,下列说法不正确的是A 用食醋可除去热水壶内壁的水垢B 淀粉,油脂 和蛋白质都是高分子化合物C 自行车钢价生锈主要是电化学腐蚀所致D 新型复合材料使用手机,电脑能电子产品更轻巧,使用和新潮8 、在水溶液中能大量共存的一组是A 2e F + 3Al + C l O - Cl -B K +2Cu + OH - 3NO - C 4NH + Na + Br - 24SO - D 2Mg + H + 23S i O - 24SO -9 下列实验能达到目的的是A 用溴水鉴别苯和正己烷B 用BaCl 2溶液鉴别24SO - 和 23SO -C 用浓HNO 3与Cu 反应制备NO2D 将混有HCL 的Cl 2通入饱和NaHCO 3溶液中除去HCl10 、下列应用不涉及氧化还原反应的是A Na2O2用作呼吸面具的供氧剂B 工业上电解熔融状态Al2O3制备AlC 工业上利用合成氨实现人工固氮D 实验室用NH4Cl 和Ca(OH)2制备NH311 、设n A 为阿伏伽德罗常数的数值,下列说法正确的是A 常温下,4gCH4 含有n A 个C-H共价键B 1 mol Fe 与总量的稀HNO3 反应,转移2 n A 个电子L NaHCO3液含有0.1n A 个HCO3C 1 L 0.1 mol ·1D 常温常压下,22.4L的NO2 和CO2合气体含有2 n A 个O 原子12、下列陈述ⅠⅡ正确并且有因果关系的是13、清晨,草叶上的露珠是由空气中的水汽凝结成德水珠,这一物理过程中,水分子间的A 引力消失,斥力增大,B 斥力消失,引力增大C 引力、斥力都减小D 引力、斥力都增大14.景颇族的祖先发明的点火器如图1所示,用牛角做套筒,木质推杆前端粘着艾绒。

2012(2)计算机基础期末考试试卷(A2卷)课件

2012(2)计算机基础期末考试试卷(A2卷)课件

华南农业大学期末考试试卷(A2卷)2012学年第 2 学期 考试科目:大学计算机基础 考试类型:(闭卷)考试 考试时间:120 分钟 学号 姓名 年级专业考生注意:1、 答案必须分别写在“机读卡”和“答题卷”上,写在试卷上不得分。

2、 必须在机读卡和答题卷上正确填写班级、学号、姓名等内容,否则没有考试成绩。

3、 在机读卡的学生代号区只填写学号后10位。

4、 考试不能使用计算器、手机等电子设备。

5、 试卷、机读卡、答题卡全部上交。

一、判断题(本大题共60小题,每小题0.5分,共30分) (在机读卡上答题,正确选A ,错误选B )1. 马克1号是世界上第一台电子数字式计算机。

2. 如果没有香农创立的现代信息论,电子计算机是无法研制成功的。

3. 计算机技术包含了信息技术。

4. 智能化是计算机的特点之一。

5. 笔记本电脑集成度高,因而也被称为嵌入式计算机。

6. 在微型机中使用最广泛的西文字符编码是ASCII 码。

7. 用Java 语言编写的操作系统属于应用软件。

8. 通常用后缀字母来标识某数的进制,字母B 代表二进制。

9. 正数的反码是其将原码各位取反。

10. 汉字的机内码就是汉字的输入码。

11. 计算机指令是从运算器调入到控制器中进行处理的。

12. 32位的CPU 是指其数据总线的位宽是32位。

13. 在CPU 中只允许有一级缓存。

14. 硬盘经分区然后再高级格式化后就能使用了。

15. 半导体存储器ROM 是一种易失性存储器件,电源关掉后,存储在其中的信息便丢失。

16. 前端总线是将CPU 连接到北桥芯片的总线,其工作频率一般高于外频。

17. 内存RAM 中的数据是放在同心圆的磁道上。

18. BIOS 是主板上一块可读写的RAM 芯片、当关机后该芯片中的数据消失。

19. 双核CPU 是在单个CPU 中真正集成了两个物理的运行核心。

20.指令译码器是运算器中的一个重要部件。

21.计算机所完成的全部运算都是在运算器中的寄存器部件中进行的。

2012年湖北省高职统考计算机A型试卷及参考答案(可编辑)

2012年湖北省高职统考计算机A型试卷及参考答案(可编辑)

机密★启用前2012年湖北省高职统考计算机应用基础本试题卷共3页,三大题26小题。

全卷满分100分。

考试用时60分钟。

★祝考试顺利★注意事项:1.答卷前,考生务必将自己的姓名、准考证号写在试题卷和答题卡上,并将准考证号条形码粘贴在答题卡上的指定位置。

用统一提供的2B铅笔将答题卡上的试卷类型A后的方框涂黑。

2.选择题的作答:每小题选出答案后,用统一提供的2B铅笔吧答题卡上对应题目的答案标号涂黑。

如需改动,用橡皮擦干净后,再选涂其它答案标号。

答在试题卷、草稿纸上无效。

3.简答题和应用题的作答:用统一提供的签字笔将答案直接答在答题卡上对应的答题区域内。

答在试题卷、草稿纸上无效。

4.考生必须保持答题卡的整洁。

考试结束后,请将本试题卷和答题卡一并上交。

一、单项选择题(本大题共20小题,每小题3分,共60分)在每小题给出的四个备选项中只有一项是符合题目要求的,请将其选出。

未选、错选或多选均不得分。

1.微型计算机中CPU是A.中央处理器B.主板C.显示适配器D.内存2.下列属于微型计算机输出设备的是A.键盘B.鼠标C.打印机D.扫描仪3.下列所表示的存储容量中,最大的是A.1MBB.1GBC.512BD.512KB4.字符“A”的ASCII码为1000001,对应的十进制数为A.64B.65C.66D.675.微型计算机使用的键盘中,Enter键是A.回车键B.制表位C.删除键D.插入键6.下列文件中表示图像文件的是A.a1.exeB.a2.jpgC.a3.txtD.a4.wav7.在Windows XP中,实现对多个不连续文件选取操作的方法是A.按住Alt键后选择文件B.按住Shift键后选择文件C.按住Ctrl键后选择文件D.按住Delete键后选择文件8.在Windows XP中,将屏幕分辨率调整为1024×768,可选择控制面板中的A.外观和主题B.添加/删除程序C.辅助功能选项D.性能和维护9.在Windows XP资源管理器中显示文件的修改日期,可选择“查看”菜单中的A.缩略图B.图标C.列表D.详细信息10.电子邮件地址的正确形式是A.用户名&域名B.用户名@域名C.用户名/域名D.用户名#域名11.在网址“”中“.cn”表示的是A.美国B.英国C.中国D.日本12.启动Word 2003,系统将自动创建新文档的文件名为A.文件1.docB.文档1.docC.Noname.docD.Untitled.doc13.在Word 2003中制作图文混排的文档,将一幅图片作为背景,设置此图片的环绕方式为A.嵌入型B.四周型C.浮于文字上方D.衬于文字下方14.在Word 2003编辑状态下,要在文档中添加特殊符号“★”,可使用的菜单是A.文件B.编辑C.格式D.插入15.在Excel 2003工作表中,单击行号选择的是A.1行B.1列C.1个单元格D.1个工作表16.在Excel 2003工作表中,排序最多允许设置的关键字个数是A.1个B.2个C.3个D.4个17.在Excel 2003中,第5行第3列的单元格地址可表示为A.E3B.C5C.35D.5318.在PowerPoint 2003中,启动幻灯片放映的快捷键是A.F5B.F6C.F7D.F819.在PowerPoint 2003普通视图中,若将第2章幻灯片的标题设置为播放时单击跳转到第5张幻灯片,应设置A.自定义动画B.放映方式C.幻灯片切换D.超链接20.在PowerPoint 2003中,制作幻灯片母版,可使用的菜单是A.插入B.编辑C.视图D.工具二、简答题(本大题共4小题,每小题5分,共20分)21.列出鼠标常见的5种操作方法。

2012年计算机一级模拟考试题A卷及答案

2012年计算机一级模拟考试题A卷及答案

广西农职院2010-2011学年上学期《计算机应用基础》理论模拟考试(模拟题A)第一部分必答模块必答模块1:基础知识(每项1.5分,14项,共21分)1.计算机与其他信息处理机(如计算器、电报机、电话机、电视机等)的根本区别是。

A.大容量和高速度B.自动控制功能C.正确运行D.程序控制工作方式2.下列叙述中,正确的是。

A.世界上第一台电子计算机ENIAC首先实现了“存储程序”方案B.冯.诺依曼提出的计算机体系结构奠定了现代计算机的结构理论基础C.按照计算机的规模,人们把计算机的发展过程分为四个时代D.微型计算机最早出现于第三代计算机中3.使用计算机控制生产设备的操作,如数控机床、柔性制造系统等属于。

A.CADB.CAMC.CAID.CIT4.计算机中的数据是指。

A.一批数字形式的信息B.一个数据分析C.程序、文稿、数字、图像、声音等信息D.程序及其有关的说明资料5.十进制36的二进制为。

A.00100110B.01000101C.00100100D.101101006.有一个数值110,它与十六进制6E相等,该数值是。

A.二进制B.八进制C.十六进制D.十进制7.在微型计算机中,RAM的特点是。

A.只能读出信息,不能写入信息B.能写入和读出信息,但断电后信息就丢失C.只能写入信息,且断电后就丢失D.能写入和读出信息,断电后信息也不丢失8.一个存储容量为256MB的U盘,一般存储字节的数据。

A.220B.226C.228D.2219.冯·诺依曼式的计算机硬件系统主要是由。

A.CPU,控制器,输入和输出设备B.CPU,运算器,控制器C.主机,显示器,鼠标和键盘D.CPU,存储器,输入和输出设备10.计算机的基本指令是由两部分构成的。

A.命令和操作数B.操作码和操作数C.操作数和地址码D.操作码和操作数地址码11.下面关于机器语言的说法中,正确的是。

A.不同计算机系统的机器语言都是相同的B.机器语言必须翻译成二进制代码后才能被计算机执行C.机器语言是能被计算机直接识别和执行D.机器语言就是计算机指令12. 是运行、管理、维护计算机的必不可少的最基本的软件。

(完整word版)北师大数院11-12年数据结构试卷A+答案

(完整word版)北师大数院11-12年数据结构试卷A+答案

北京师范大学2011~2012学年第 1 学期期末考试试卷(A 卷)课程名称: 数据结构 任课教师姓名: 刘玉铭卷面总分: 100 分 考试时长: 100 分钟 考试类别:闭卷 院(系): 数学科学学院 专 业: 年级: 2010 姓 名: 学 号:阅卷教师(签字):一、 单项选择题(每题2分,共10题20分)1.以下那一个术语与数据的存储结构无关? 。

A .栈B .哈希表C .线索树D .双向链表2.链表不具有的特点是 。

A .插入、删除不需要移动元素B .可随机访问任一元素C .不必事先估计存储空间D .所需空间与线性表长度成正比3.算术表达式a+b*(c+d/e )转为后缀表达式后为 。

装订线A.ab+cde/* B.abcde/+*+C.abcde/*++ D.abcde*/++4.二维数组A[10][20]采用列优先的存储方法,若每个元素占2个存储单元,设A[0][0]的地址为100,则元素A[7][6]的存储地址为。

A.232B.234C.390D.3925.若一棵二叉树具有10 个度为2 的结点,5 个度为1 的结点,则度为0 的结点个数是 .A.9B.11C.15D.不确定6.一棵二叉树中序序列为FE ABDC,后序序列为F BADC E,则层序序列为。

A。

ABCDEF B. EFCDBA C。

FECDAB D。

EFCDAB7.在有向图G 的拓扑序列中,若顶点Vi 在顶点Vj 之前,则下列情形不可能出现的是 .A.G 中有弧〈Vi,Vj>B.G 中有一条从Vi 到Vj 的路径C.G 中没有弧<Vi,Vj〉D.G 中有一条从Vj 到Vi 的路径8.对于二叉排序树,下面的说法是正确的。

A.二叉排序树是动态树表,查找不成功时插入新结点时,会引起树的重新分裂和组合B.对二叉排序树进行层序遍历可得到有序序列C.用逐点插入法构造二叉排序树时,若先后插入的关键字有序,二叉排序树的深度最大D.在二叉排序树中进行查找,关键字的比较次数不超过结点数的1/29.一组记录的关键字为{47、75、55、30、42、90},则用快速排序方法并以第一个记录为支点得到的第一次划分结果是 .A. 30,42,47,55,75,90B. 42,30,47,75,55,90C. 42,30,47,55,75,90D. 42,30,47,90,55,7510.下述文件中适合于磁带存储的是。

2012WINCE程序设计A卷答案

2012WINCE程序设计A卷答案
{
COMMTIMEOUTS CommTimeOuts;
//打开串口
m_hComm=CreateFile(Port,GENERIC_READ|GENERIC_WRITE,0,0,OPEN_EXISTING,0,0);
if(m_hComm==INVALID_HANDLE_VALUE)
{
MessageBox(_T(“无法打开端口或端口已打开”));
CEDDK还提供了函数MmMapIoSpace用来把一段物理内存直接映射到虚拟内存。用MmMapIoSpace申请的内存要用MmUnmapIoSpace释放。其实,MmMapIoSpace函数内部也是调用VirtualAlloc和VirtualCopy函数来实现物理地址到虚拟地址的映射的。
此外,Windows CE还供了AllocPhysMem函数和FreePhysMem函数,用来申请和释放一段连续的物理内存。函数可以保证申请的物理内存是连续的,如果函数成功,会返回虚拟内存的句柄和物理内存的起始地址。这对于DMA设备尤为有用。
If(!SetCommTimeouts(m_hComm,&CommTimeOuts))
答:在Windows CE下,无论是驱动程序还是应用程序都可以通过API访问某一段物理内存。
Windows CE在ceddk.h中定义了物理内存结构体PHYSICAL_ADDRESS。
如果要直接访问某一个地址的物理内存,Windows CE提供了VirtualAlloc()和VirtualCopy()函数。VirtualAlloc负责在虚拟内存空间内保留一段虚拟内存,而VirtualCopy负责把一段物理内存和虚拟内存绑定,这样,最终对物理内存的访问还是通过虚拟地址进行。VirtualAlloc对虚拟内存的申请分为两步,保留MEM_RESERVE和提交MEM_COMMIT。其中MEM_RESERVE只是在进程的虚拟地址空间内保留一段,并不分配实际的物理内存,因此保留的虚拟内存并不能被应用程序直接使用。MEM_COMMIT阶段才真正的为虚拟内存分配物理内存。

2012(2)计算机基础期末考试试卷(A2卷)解析

2012(2)计算机基础期末考试试卷(A2卷)解析

华南农业大学期末考试试卷(A2卷)2012学年第 2 学期 考试科目:大学计算机基础 考试类型:(闭卷)考试 考试时间:120 分钟 学号 姓名 年级专业考生注意:1、 答案必须分别写在“机读卡”和“答题卷”上,写在试卷上不得分。

2、 必须在机读卡和答题卷上正确填写班级、学号、姓名等内容,否则没有考试成绩。

3、 在机读卡的学生代号区只填写学号后10位。

4、 考试不能使用计算器、手机等电子设备。

5、 试卷、机读卡、答题卡全部上交。

一、判断题(本大题共60小题,每小题0.5分,共30分) (在机读卡上答题,正确选A ,错误选B )1. 马克1号是世界上第一台电子数字式计算机。

2. 如果没有香农创立的现代信息论,电子计算机是无法研制成功的。

3. 计算机技术包含了信息技术。

4. 智能化是计算机的特点之一。

5. 笔记本电脑集成度高,因而也被称为嵌入式计算机。

6. 在微型机中使用最广泛的西文字符编码是ASCII 码。

7. 用Java 语言编写的操作系统属于应用软件。

8. 通常用后缀字母来标识某数的进制,字母B 代表二进制。

9. 正数的反码是其将原码各位取反。

10. 汉字的机内码就是汉字的输入码。

11. 计算机指令是从运算器调入到控制器中进行处理的。

12. 32位的CPU 是指其数据总线的位宽是32位。

13. 在CPU 中只允许有一级缓存。

14. 硬盘经分区然后再高级格式化后就能使用了。

15. 半导体存储器ROM 是一种易失性存储器件,电源关掉后,存储在其中的信息便丢失。

16. 前端总线是将CPU 连接到北桥芯片的总线,其工作频率一般高于外频。

17. 内存RAM 中的数据是放在同心圆的磁道上。

18. BIOS 是主板上一块可读写的RAM 芯片、当关机后该芯片中的数据消失。

19. 双核CPU 是在单个CPU 中真正集成了两个物理的运行核心。

20.指令译码器是运算器中的一个重要部件。

21.计算机所完成的全部运算都是在运算器中的寄存器部件中进行的。

2012年系统架构师考试题详解

2012年系统架构师考试题详解

1 考试科目一:综合知识1.1 假设系统中有n个进程共享3台打印机,任一进程在任一时刻最多只能使用1台打印机。

若用PV操作控制n个进程使用打印机,则相应信号量S的取值范围为(1);若信号量S的值为-3,则系统中有(2)个进程等待使用打印机。

2012年(1)A.0,-1,…,-(n-1)B.3,2,1,0, -1,•••, - (n-3)C.C. 1, 0, -1,•••, - (n-1)D.2, 1, 0, -1, •••, - (n-2)2012年(2)A.0B.1C.2D.3【答案】B D 【解析】本题考查操作系统进程管理方面的基础知识。

试题(1)的正确答案为选项B。

根据题意,假设系统中有n个进程共享3台打印机,意味着每次只允许3个进程进入互斥段,那么信号量的初值应为3。

可见,根据排除法只有选项B中含有3。

试题(2)的正确答案为选项D。

信号量S的物理意义为:当S多0时,表示资源的可用数;当S<0时,其绝对值表示等待资源的进程数。

1.2 假设文件系统采用索引节点管理,且索引节点有8个地址项iaddr[0]〜iaddr[7],每个地址项大小为4B, iaddr[0]〜iaddr[4]采用直接地址索弓丨,iaddr[5]和iaddr[6]采用一级间接地址索引,iaddr[7]采用二级间接地址索引。

假设磁盘索引块和磁盘数据块大小均为1KB字节,文件Filel的索引节点如下图所示。

若用户访问文件Filel中逻辑块号为5和261的信息,则对应的物理块号分别为(3);101号物理块存放的是(4)。

2012年(3)A.89和90B.89和136C.58和187D.90和1362012年(4)A.Filel的信息B.直接地址索引表C.一级地址索引表D.二级地址索引表【答案】C D 【解析】本题考查操作系统文件管理方面的基础知识。

根据题意,磁盘索引块为1KB,每个地址项大小为4B,故每个磁盘索引块可存放1024/4=256个物理块地址。

2012年A卷有答案资料

2012年A卷有答案资料

2012年内蒙古移动网络运行维护技能竞赛试题A卷(传送网---传输设备)代表队名称:____________________ 姓名:_______________________注意事项:A. 本试卷是2012年内蒙古移动网络运行维护技能竞赛试题,考试时间为120分钟,闭卷考试。

B. 本试卷分值为100分,总计9页,考生拿到试卷后请仔细严查试卷是否完整。

C. 应考人员在答题前,请将所在公司名称或代表队名称、本人姓名认真准确地填写在试卷纸上。

D. 请应考人员将答案最终写在答题纸上。

答题时,要字迹工整,保持卷面干净。

E. 应考人员应严格遵守考场纪律,服从监考人员的监督和管理,凡考场舞弊不听劝阻或警告者,监考人员有权终止其考试资格,没收试卷,以0分处理。

F. 考试结束,应考人员应停止答卷,离开考场。

监考人员收卷后,对答卷进行装订、密封,进行评判,试卷、答题纸及验算纸不得带离考场。

一、填空题(每题中每空 1分,共15分)1、 STM-N 勺帧长是(125*10-6 )秒,其帧结构由段开销、信息净负荷和(管理指针组成)。

2、 STM-N 勺帧结构含有的字节数是:(9*270*N )。

3、在波分复用系统中,对分波/合波器件的要求是(插入)损耗小、隔离度高和通带范围宽4、 某工程组环网,四个网元,每个网元均上/下16个2M 其网元名称为(ADM ; 因用于集中型业务采用(两纤通道保护环)保护方式。

5、 G.821误码性能事件的定义是:EB 丘3和(SES 。

& 网元的创建与删除、链路的创建与删除属于传输网管的(配置管理)功能。

7、 光接收机的动态范围是指在保证一定的系统误码率要求的情况下, 接收机的 (最大允许输入光功率)和(最小输入光功率)之比。

8、 为承载全速率的GbE 业务,至少需要使用(7)个VC4的级联。

9、 M PLSB 签一共有4个字节,其中Label 字段有(20)个比特、EXP 字段有(3) 个比特、TTL 字段有8个比特。

2012年办公软件WORD考卷1(含答案)

2012年办公软件WORD考卷1(含答案)

2012 年管理技术岗位办公软件应用考卷1(考试时间:90 分钟;总分:300分)姓名:;部门:; 得分:第一部分WORD 操作(100 分)一、请选择你最擅长的输入法(宋体,小四),根据所提供的文字以 10 分 钟内录入的文字多少和准确度确定成绩。

(0.067 分/字符, 错一字符或不按要求排版每处扣 0.3 分; 标准分小计 40 分。

)中国房地产协会会长刘志峰: 提高建筑使用寿命最重要 当前建筑节能面临的主要问题:一是建筑使用寿命远小于实际寿命,节能减排的成果大打折扣,造成 资源能源极大浪费。

二是供热计量收费改革进展缓慢,既有建筑节能改造难度大。

三是住宅产业化水平比 较低,资源消耗高,二次装修浪费惊人。

四是农村建筑节能工作尚未起步。

五是节能设计标准不完善。

以 上五个方面突出问题严重影响了我国建筑节能工作的进一步有序推进。

要解决上述问题,大力推进建筑节能减排,走可持续发展之路,“十二五”期间要确立两个理念,注重 两个统筹,从五个方面着手。

首先,要确立提高建筑使用寿命是最大的节约理念,如果我们的建筑使用寿命提高一倍,大致可以节 约一半建材生产的资源和能源。

第二要确立从规划、设计、施工、使用、维护和拆除再利用全过程和建筑 全寿命周期综合考虑建筑节能的理念。

像发达国家有一些整个房屋拆除以后,平均回收利用率到了 80%, 我们大部分变成建筑垃圾,还占用了大量的地方来填埋。

同时要注重两个统筹。

第一个是统筹新建建筑和既有建筑。

第二个是要统筹城乡建设,要改变重城轻 乡的状况。

下面再说说从哪五个方面着手: 方面一,从规划着手建造长寿命高品质建筑。

规划是提高建筑使用寿命的基础,是引领长寿命高品质 建筑建造的龙头。

确保规划的严谨、全面、科学,维护规划的强制性和严肃性,规划一旦确定要严格执行, 并强化问责,确需调整的要严格执行法定程序,做到公开、透明,在城市规划中间应该科学功能分区,建 设居住、商业、办公为一体的多功能社区,积极推广城市综合体,降低交通能耗。

2012 数字系统设计期末试卷(10级)A卷

2012 数字系统设计期末试卷(10级)A卷
A.奇校验码产生电路
B.多数表决电路
C.偶校验码产生电路D.二选一数据选择电路
8.图1电路由2个传输门TG1、TG2和3个或非门G1、G2、G3组成,其功能是()。
A.主从结构D触发器B.边沿触发D触发器
C.同步D触发器D.反相器
9.图2中,74290是异步二-五-十进制计数器,下降沿触发;CLK0是二进制计数器脉冲输入,Q0是输出;CLK1是五进制计数器脉冲输入,Q3Q2Q1是输出;R01、R01为异步清零端,高电平有效。则图2的电路的功能是()。
2)画出电路连接图。(6分)
4)当分频控制信号Y1Y0取何值时,输出F1的频率最高?F1的最高频率为多少?(2分)
5)当分频控制信号Y1Y0取何值时,输出F2的频率最低?F2的最低频率为多少?(2分)
六、设计题:根据要求设计电路,写出设计步骤(8+10=18分)
1.(8分)右图为的带有异步复位端的T触发器:
1)写出该T触发器的特性表;(2分)
1D0-1D3、2D0-2D3是两组独立的数据输入端;
A1、A0是公共地址输入端;
1F、2F分别是两组4选1数据选择器的输出端;
1)分析该电路中74HC161的作用,74HC253的作用,以及电路的分频工作原理。(4分)
2)当分频控制信号Y1Y0=10时,输出信号F1和F2的频率为多少?(4分)
3)欲使信号F1的频率为64KHz,分频控制信号Y1Y0应该取什么值?(2分)
四、逻辑函数分析及化简,写出步骤(10分)
1.某逻辑电路的输入D4D3D2D1是8421BCD码,电路输出Y4Y3Y2Y1为2421码(2421码的名字来源于每一位的权重,2421码中1011相当于十进制数2+0+2+1=5,1100相当于十进制数2+4+0+0=6)。

2012北京联大计算机基础A卷及答案

2012北京联大计算机基础A卷及答案

2012北京联大计算机基础A卷及答案一、单选题1.气象台及时发布台风警报,从而避免了人员伤亡和财物损失,这说明信息具有()。

[单选题] *A.可存储可转换B.时效性有用性(正确答案)C.时效性失真性D.独立性增值性2.某学习小组要制作一个介绍“北京名胜古迹”的网页。

为了收集相关素材,可以使用的途径有()。

①通过因特网搜索②咨询有关专家③查阅相关杂志④实地考察[单选题] *A.①②④B.①③④C.①②③④(正确答案)D.②③④3.冯•诺依曼结构指出计算机硬件系统由()组成。

[单选题] *A.中央处理器、存储器和输入输出设备B.运算器、控制器、存储器和输入输出设备(正确答案)C.运算器、存储器和控制器D.运算器、存储器和输入输出设备4.机器人大赛是我国中小学科技创新活动的主要项目,机器人主要是利用了()。

[单选题] *A.网络技术B.多媒体技术C.语音技术D.人工智能技术(正确答案)5.下列各选项中不属于输入码的是()。

[单选题] *A.国标码(GB)(正确答案)B.王码五笔C.搜狗拼音D.智能ABC6.下列选项中,均属于计算机输入设备的是()。

[单选题] *A.扫描仪、键盘、鼠标(正确答案)B.扫描仪、打印机、移动硬盘C.键盘、鼠标、显示器D.显示器、扫描仪、键盘7.计算机采用总线结构对CPU、存储器、I/O等部件进行连接,总线通常由()构成。

[单选题] *A.逻辑总线、传输总线和通信总线B.数据总线、信息总线和传输总线C.地址总线、运算总线和逻辑总线D.数据总线、地址总线和控制总线(正确答案)8.计算机字长越长,运算速度越(),处理功能越( )。

[单选题] *A.高,弱B.低,弱C.低,强D.高,强(正确答案)9.中央处理器每执行一个(),就可完成一次基本运算或判断。

[单选题] *A.语句B.指令(正确答案)C.程序D.软件10.下面有关计算机的叙述中,()是正确的。

[单选题] *A.计算机的主机包括控制器、内存储器和硬盘三部分B.CD-ROM和ROM都属于内存储器C.计算机程序必须装载到内存才能执行(正确答案)D.计算机键盘上字母键的排列方式是随机的11.我国设计并制造的具有自主知识产权的中央处理器是()。

2012年计算机统考真题及答案解析(套题版)

2012年计算机统考真题及答案解析(套题版)

王道论坛()原创并友情分享!~
R1 P0 P1 P2 P3 P4 A. P0, P2, P4, P1, P3 C. P2, P1, P0, P3, P4 正确的是 。 3 4 4 2 3
R2 2 0 0 0 1
R3 3 3 5 4 4
R1 5 5 4 4 4
R2 5 3 0 2 2
18 .某计算机的控制器采用微程序控制方式,微指令中的操作控制字段采用字段直接编码法,共有 33 个微命令,构成 5 个互斥类,分别包含 7 、 3 、 12 、 5 和 6 个微命令,则操作控制字段至少有 A. 5 位 B. 6 位 C. 15 位 D. 33 位
19 .某同步总线的时钟频率为 100MHz,宽度为 32 位,地址 /数据线复用,每传输一个地址或数据 占用一个时钟周期。若该总线支持突发(猝发)传输方式,则一次“主存写”总线事务传输 128 位数据 所需要的时间至少是 A. 20ns 。 B. 40ns C. 50ns 。 D.80ns
R3 10 6 11 5 4
B. P1, P0, P3, P4, P2 D. P3, P4, P2, P1, P0
28 .若一个用户进程通过 read 系统调用读取一个磁盘文件中的数据,则下列关于此过程的叙述中, Ⅰ.若该文件的数据不在内存,则该进程进入睡眠等待状态 Ⅱ.请求 read 系统调用会导致 CPU 从用户态切换到核心态 Ⅲ. read 系统调用的参数应包含文件的名称 A. 仅Ⅰ、Ⅱ 顺序如下: P1 :计算 60ms, I/O 80ms ,计算 20ms P2 :计算 120ms, I/O 40ms ,计算 40ms 若不考虑调度和切换时间,则完成两个作业需要的时间最少是 A. 240ms 是 。 A.在进程结束时能进行处理机调度 B.创建新进程后能进行处理机调度 C.在进程处于临界区时不能进行处理机调度 D.在系统调用完成并返中,正确的是 。 A.不管系统是否支持线程,进程都是资源分配的基本单位 B.线程是资源分配的基本单位,进程是调度的基本单位 C.系统级线程和用户级线程的切换都需要内核的支持 D.同一进程中的各个线程拥有各自不同的地址空间 32 .下列选项中,不能改善磁盘设备 I/O 性能的是 A.重排 I/O 请求次序 C.预读和滞后写 A. PPP A.机械特性 B. IP B.功能特性 。 B.在一个磁盘上设置多个分区 D.优化文件物理块的分布 。 D. TCP 。 D.电气特性 C. UDP C.过程特性 。 B.无连接可靠服务 D.有连接可靠服务 B. 260ms C. 340ms 。 D. 360ms B. 仅Ⅰ、Ⅲ C. 仅Ⅱ、Ⅲ D. Ⅰ、Ⅱ和Ⅲ 29 .一个多道批处理系统中仅有 P1 和 P2 两个作业, P2 比 P1 晚 5ms 到达,它们的计算和 I/O 操作

2012年数据库系统试卷(A)

2012年数据库系统试卷(A)

华南农业大学期末考试试卷(A卷)2012学年第一学期考试科目:数据库系统考试类型:闭卷考试时间:120分钟学号姓名年级专业Instructions to candidates:1. Write your name, student number and class on both the question papersand the answer papers.2. DO NOT write your answers on the question papers. Write them ALL ONTHE ANSWER PAPERS.3. Write your answers in either Chinese or English. If the answer in English iscorrect, you can get bonus marks.3. Hand in all papers (both the question papers and the answer papers). Question 1 [45 marks, 1.5 marks for each.]: Single choice questions,select the most appropriate answer please.1. _______ is the most widely used data modelA. relational modelB. entity-relationship modelC. object-based data modelD. semi-structured data model2. A relation schema is a set of _______.A. tuplesB. attributesC. columnsD. rows3. A set of attributes that, taken collectively, allow us to identify uniquely a tuple in the relation is called ______.A. super keyB. primary keyC. candidate keyD. foreign key4. Application users interact with data in the _______ level.A. physicalB. logicalC. viewD. all of the above5. Relational algebra is a _____ languageA. proceduralB. declarativeC. naturalD. commercial6. The fundamental operations of relational algebra includes_______.A. generalized projection, aggregate function, outer joinB. set-intersection, natural join, division, assignmentC. select, project, set-union, set-difference, Cartesian product, renameD. set-intersection, set-union, set-difference7. If table S has M columns, table T has N columns, then the Cartesian product of S and T has ____ columns.A. M*NB. M-NC. M+ND. M+N-18. The ______ operation in relational algebra requires the two participating relations have the same number of attributes.A. divisionB. joinC. Cartesian productD. union9. ______is not contained in SQL language?A. query evaluationB. integrityC. viewD. authorization10. The SELECT keyword in a SQL statement corresponds to the ______ operation in relational algebra.A. selectB. projectionC. joinD. divide11. SQL language use the ________ statement to remove a table from database.A. REVOKEB. DELETEC. REMOVED. DROP12. Using views is beneficial to _______.A. concurrency controlB. securityC. failure recoveryD. all of the above13. A trigger can define some actions to be executed ______ some events in database.A. beforeB. afterC. instead ofD. all of the above14. ______ is not the basic notions of the E-R model.A. entityB. relationshipC. degreeD. attribute15. If the mapping cardinality from entity set S to entity set T is one to many, then an entity of T is associated with ____ entity(entities) of S.A. oneB. at most oneC. at least oneD. many16. An abstraction through which relationships are treated as higher-level entities is called _____.A. aggregationB. generalizationC. specializationD. attribute inheritance17. Mapping an E-R diagram into relation schemas can happen in the phase of ______.A. requirement analysisB. conceptual designC. logical designD. physical design18. A _______ relationship set is represented as a schema with attributes for the primary keys of the two participating entity sets.A. one-to-oneB. one-to-manyC. many-to-oneD. many-to-many19. From the functional dependency set F={A→B, BC→D, C→E}, we can infer that_____.A. AC→ABCDEB. BC→ABCDEC. CD→ABCDED. none of the above20. ______ eliminates all redundancy that can be discovered based onfunctional dependenciesA. 1NFB. 2NFC. 3NFD. BCNF21. We must ensure the property of ______ in database design.A. BCNFB. lossless decompositionC. dependency preservationD. 3NF22. _____is not the property of transactions.A. activeB. consistencyC. isolationD. durability23. ____ controls interactions among concurrent transactions.A. query processorB. storage managerC. concurrency control managerD. database administrator24. _____of the following pairs of instructions do not conflict.A. read(Q), read(Q)B. read(Q), write(Q)C. write(Q), read(Q)D. write(Q), write(Q)25. A serializable schedule is equivalent to _____ serial schedule(s).A. only oneB. at least oneC. at most oneD. any number of26. If a transaction T has obtain a shared lock on data item Q, then T can ______ Q.A. readB. writeC. both read and writeD. neither read nor write27. The timestamp of transaction S is smaller than the timestamp of transaction T if_____.A. S commits before T commitsB. S has fewer instructions than TC. S uses less data items than TD. S enters the system before T28. In the timestamp protocol, if TS(T i)<TS(T j), the serializability order is_____.A. transaction T i appears before transaction T jB. transaction T j appears before transaction T iC. determined by the actual executionD. not sure29. Suppose transactions T22, T23, T24 have timestamps 5,10,15, respectively. If T22 requests a data item held by T23, then T22 will wait. If T24 requests a data items held by T23, then T24will be rolled back. This technique is called ______.A. concurrentB. starvationC. wait-dieD. wound-wait30. _____ is not the action to take place when checkpoints are performed.A. output onto the disk all modified buffer blocksB. output onto the stable storage all log records currently residing in main memoryC. perform all update actionsD. output onto stable storage a log record <checkpoint>Question 2 [12 marks]:Consider the following figure that describes the E-R diagram of an invoice(发票) database.(1) Give a precise specification of the requirements and constraints from the E-R diagram. [5 marks] (2) Translate the diagram into relational model by supplying the appropriate CREATE TABLE statements. Specify all the key and foreign key constraints.[7 marks]Question 3 [8 marks]: Write relational algebra expressions to satisfy query requirements in the following database.(1) Find the exercise number and points of Ann Smith. [2 marks] (2) Find the full name and homework number of students who get the maximum number of points for each homework. [2 marks] (3) Find all exercise number, chapter and points of students whose email address belongs to scau. [2 marks](4) Write out the result of the relational algebra expression ()()EXERCISES RESULT STUDENTS PO INTS PO INTS ENO CAT LAST FIRST 80,,,,>∏σ [2 marks] Question 4 [12 marks]: Consider the following relational schema:Computer (cno, memory_size, disk_size) Manufacturer (mno, mname, mcity) Shop (sno, sname, scity)Purchase (sno, mno, cno, number, price) A manufacture produces many types of computers. A shop first purchases some computers from manufacturers, and then sells them to customers. Write the following queries in SQL. (1) Find the cno of computers which have memory size larger than 2GB and hard disk size larger than 500 GB. [3 marks](2) Find the average price of computers with cno ‘LX3000’ which are produced in Beijing and sold in Guangzhou. [3 marks](3) Find the cno of the most expensive computer which is sold in shops located in Guangzhou. [3 marks](4) Find the mname of manufacturers which haven ’t sold computers to shops. [3 marks]Question 5 [10 marks] Given the following table T and the set of functional dependencies F: TF = {A→B, C→B, D→ABC, AC→D}(1) Find candidate keys for table T? [2 marks](2) What is the highest normal form for table T? Please explain the reasons.[2 marks](3) Given the following records or rows(a5, b6, c7, d8)(a2, b2, c1, d8)(a3, b1, c4, d3)(a1, b1, c2, d5)Please indicate which record can be added into table T without violating any of functional dependencies in F. [2 marks] (4) Decompose the schema to achieve BCNF. Please explain the reason of eachdecomposing step. [4 marks]Question 6 [13 marks]: There are 3 transactions:T1:read(p1) write(p1) read(p2) write(p3)T2: read(p1) write(p1) read(p2) write(p2)T3: read(p3) write(p3) read(p2)(1) Please add lock and unlock operations to each transaction according to the two phase locking protocol. [4 marks] (2) Please give a concurrent conflict serializable schedule with those transactions(after lock, unlock operations are added), and write out the serial schedule that is conflict equivalent to your schedule. [4 marks] (3) Compare the deferred- and immediate- modification version of the log-based recovery scheme in terms of ease of implementation and overhead cost. [5 marks]。

《数字系统设计》试卷A部分答案PPT教学课件

《数字系统设计》试卷A部分答案PPT教学课件

2
(1)
idle
1
r1
0 g1
1
r2
0 g2
0
1
r3
g3
1
r1
0
1
0
r2
1 0
r3
2020/12/10
(2)
idle
r3='1' and
Y
k1k2="11"
N
Y
r1='1'
N
r2='1'
N
r3='1'
Y
Y N
S3 g3='1' k1='0' k2='0'
S1 k1='1' g1='1'
S2 k2='1' g2='1'
each clock cycle in steady-state simulation(ignore any irregularities in the first few clock cycles) • 2)for full marks, if the code does not match, you must explain why. • 3) assume that all signals, constrants, variables, types, etc are properly defined and declared. • 4) all of the codes are leagal, synthesizable VHDL code.
Architecture a of q is Begin
process begin a <= ‘1’; loop wait until rising_edge(clk); a <= NOT a; end loop;
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

中国矿业大学2012~2013学年第一学期
《数字系统设计基础》试卷(A)卷
考试时间:100 分钟考试方式:闭卷
学院_________班级_____________姓名___________学号____________
一、选择题(20分,每题2分)
1.不完整的IF语句,其综合结果可实现:_________
A. 三态控制电路
B. 条件相或的逻辑电路
C. 双向控制电路
D. 时序逻辑电路
2.关于进程语句说法错误的是_________
A. PROCESS为一无限循环语句(执行状态、等待状态)
B. PROCESS中的顺序语句具有明显的顺序/并行运行双重性
C. 进程必须由敏感信号的变化来启动
D. 变量是多个进程间的通信线
3、对于VHDL以下几种说法错误的是___________
A. VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义
元件的引脚
B. 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成
C. VHDL程序中是区分大小写的
D.结构体描述元件内部结构和逻辑功能
4.一个设计实体(电路模块)包括实体与结构体两部分,实体描述___________。

A. 实体与结构体之间的连接关系;
B. 器件的内部功能;
C. 实体使用的库文件;
D. 器件外部可见特性如端口的数目、方向等
5. 组合逻辑电路中的毛刺信号是由于______引起的。

A. 电路中存在延迟
B.电路不是最简
C. 电路有多个输出
D.电路中使用不同的门电路
6. 下列关于临界路径说法正确的是___________
A. 临界路径与系统的工作速度无关
B. 临界路径减小有助于缩小电路规模
C. 临界路径减小有助于降低功耗
D. 临界路径是从系统输入到输出的各条路径中信号通过时间最长的那条路径
7. 关于FPGA和CPLD的区别说法正确的是___________
A. CPLD 更适合完成各种算法和组合逻辑,FPGA 更适合于完成时序逻辑
B. FPGA 的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而CPLD的分段式布线结构决定了其延迟的不可预测性
C. 在编程上CPLD 比FPGA具有更大的灵活性
D. CPLD的集成度比FPGA高,具有更复杂的布线结构和逻辑实现。

8.ENTITY counter IS
PORT( Clk : IN STD_LOGIC; Q : BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0));
END ________;
A. counter23
B. counter
C. work
D. entity
9. 下列关于并行和顺序语句的说法中,错误的是_________
A. 顺序语句的书写过程与执行过程基本一致
B. IF语句属于顺序语句
C. 块语句属于顺序语句
D. 并行语句中的各语句之间可有信息往来,也可以互相独立、互不相关
10. 基于EDA软件的CPLD/FPGA设计流程,以下流程哪个是正确的______
A. 原理图/HDL文本输入→适配→综合→时序仿真→编程下载→功能仿真→硬件测试
B. 原理图/HDL文本输入→功能仿真→综合→时序仿真→编程下载→适配→硬件测试
C. 原理图/HDL文本输入→功能仿真→综合→适配→时序仿真→编程下载→硬件测试
D. 原理图/HDL文本输入→适配→时序仿真→编程下载→功能仿真→综合→硬件测试
二、简答题(36分)
1、简述面向FPGA的开发流程(6分)
原理图hdl文本编辑,综合,fpga适配,fpga编程下载。

2、FPGA器件为什么会产生延迟?仿真δ的作用?(6分)
分有固有延时和传输延时,固有延时也叫惯性延时任何电子器件都有固有延时。

传输延时是指信号传输推迟或推迟了一个时间段。

作用是使并行语句和顺序语句能顺利的执行。

3、分别写出三种顺序语句和并行语句?(6分)
Case语句,process语句,if语句并置操作符。

Loop循环语句next语句
简单信号赋值语句,块语句,元件例化语句。

4、变量与信号使用时有何区别?(6分)
结构体内都能试用,
只能在进程中试用
5、状态机的基本结构和每一部分的作用。

(6分)
1,说明部分说明部分使用type语句来定义新的数据类型
2,主控时序进程负责状态机运转和在时钟驱动下负责状态转换的过程
3,主控组合进程根据外部输入的控制信号,以及状态机内部的信号和当前状态值,确定下一状态的取向。

和确定内部其他组件输出控制信号
4辅助进程用来配合状态机的时序进程和组合进程。

6、请阐述重载函数的定义以及重载函数的调用方法。

(6分)
同样名称的函数可以用不同的数据类型作为函数的参数来定义多次。

三、程序填空(10分)
下面程序是转换函数CONV_INTEGER()完成的3-8译码器的设计程序,试将5处程序补充完整。

Library ieee ;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY decoder3to8 IS
PORT ( input: IN STD_LOGIC_VECTOR ( DOWNTO 0);
output: OUT STD_LOGIC_VECTOR ( 7 DOWNTO 0));
END decoder3to8;
ARCHITECTURE behave OF decoder3to8 IS
BEGIN
PROCESS ( )
BEGIN
END PROCESS;
END behave;
四、程序题(34分)
1.请编写程序。

(10分)
程序要求:用VHDL设计一家用告警系统的控制逻辑,系统如图1所示,告警系统有来自传感器的三个输入信号smoke、door、water和准备传输到告警设备的三个输出触发信号fire_alarm、burg_alarm、water_alarm以及使能信号en 和alarm_en(使能信号均为低电平有效)。

图1家用告警系统的控制逻辑电路图
2.试编写一个过程实现一位半加器功能,过程名为halfadder,输入为INA、INB,输出为SO 和CO,并将此过程在WORK库的example程序包中实现。

(12分)
3.VHDL状态机:试利用枚举类型设计一个状态机traffic_light,用灯的颜色代表相应的状态名。

该状态机共有3个状态(green,yellow,red),状态转换顺序green->yellow->red->green,循环显示。

该状态机由两个进程描述,第一个计算下一个状态逻辑,第二个锁存下一个状态
到当前状态。

请给出结构体程序(12分)。

library IEEE;
use IEEE.std_logic_1164.all;
ENTITY traffic_light IS
PORT (clock :in std_logic;
red_light, green_light, yellow_light:out std_logic); END traffic_light;
329383379。

相关文档
最新文档