Modelsim软件的使用方法

合集下载

EDA技术与应用第8章 系统仿真与ModelSim软件使用

EDA技术与应用第8章  系统仿真与ModelSim软件使用

• 8.3.1 基本结构
• 测试平台在结构上包括两部分,一部分是实例化被测设计 DUT(Design Under Test)并提供激励源,另一部分是验证 输出结果并校验其正确性。
• 其基本结构框架(Verilog HDL编写)如图8.3.1所示:
• 8.3.2 验证过程
• 仿真验证过程主要包括:利用Verilog HDL编制 Testbench 仿真文件,通过波形或自动比较工具, 分析设计的正确性,并分析Testbench自身的覆盖 率和正确性。
• Testbench的仿真流程如图8.3.3所示,Testbench为被验证设 计提供激励信号、实例化被验证设计、将仿真数据显示在 终端或者存入文件。
• 测试程序的结构如图8.3.4所示,测试程序与一般的Verilog 模块没有根本的区别,其特点表现为:
• 测试模块只有模块名字,没有端口列表。输入信号(激励 信号)必须定义为reg型,以保持信号值;输出信号(显 示信号)必须定义为wire型。在测试模块中调用被测试模 块,调用时,应注意端口排列的顺序与模块定义时一致。
$readmemh(”数据文件名”,存储器名,起始地址,结束地址);
$readmemb(”数据文件名”,存储器名,起始地址,结束地址);
• 其中,起始地址和结束地址均可以采用默认,如果缺省起 始地址,表示从存储器的首地址开始存储;如果缺省结束 地址,表示一直存储到存储器的结束地址。
• 6、$random
• Testbench,即测试平台,用于仿真验证。在软环境中没有 激励输入,也不能对用户的设计输出结果的正确性做出评 估。因此就有必要模拟实际环境的输入激励和输出校验, 在这个虚拟平台上用户可以对设计从软件层面上进行分析 和校验,完成仿真验证。

ModelsimSE的使用方法 简单易懂

ModelsimSE的使用方法 简单易懂

2020/10/13
西安邮电学院计算机系
9
图2.3
2020/10/13
西安邮电学院计算机系
10
编译: 编译(包括原代码和库文件的编译),编译可点击Comlile > Comlile All来完成。
2020/10/13
西安邮电学院计算机系
11
装载文件: 如图2.4,点击Simulate -> Simulate..后,如图 2.5所示,选定顶层文件(激励文件),ADD加入,然后 点击load,装载。
2020/10/13西安邮电学Fra bibliotek计算机系3
2.1代码仿真需要的文件
设计HDL源代码:可以使用VHDL语言或Verilog HDL语言。(这里以附录用Verilog语言编写的4位计数器 为例进行说明)
测试激励代码:根据设计要求编写输入/输出的激励程序, 由于不需要进行综合,书写具有很大的灵活性。
2020/10/13
西安邮电学院计算机系
21
图3.1
2020/10/13
西安邮电学院计算机系
22
图3.2
2020/10/13
西安邮电学院计算机系
23
用Qusrtus产生仿真所需要的网表与sdf文件: 在作了第一步的正确设置后,每当你完成一次编译, Quartus会自动在你的当前Project 目录下生成一个 simulation目录,在该目录下,就有我们所需要的文件。如 果使用VHDL语言,网表文件是.VHO,SDF文件.SDO;如果 使用Verilog语言,网表文件是.VO,SDF文件也是.SDO。
2020/10/13
西安邮电学院计算机系
5
图2.1
2020/10/13

ModelSim的前后仿真(Quartus)

ModelSim的前后仿真(Quartus)

利用Quartus5.0实现功能仿真1)打开一个工程文件。

2)打开Settings设置栏,选择Fitting Settings下的Simulator栏。

在右边出现的设置栏中将“Simulation Mode”的下拉菜单选择“Functional”,即可以实现软件下的功能仿真。

(下拉菜单中有“Functional”、“Timing”和“Timing using Fast Timing Model”,分别代表可以在Quartus软件下实现功能仿真,时序仿真和快速时序仿真。

最后一项一般不选,如果在Settings->Timing Requirement->More Settings下“Report Combined Fast/Slow Timing”选项设为“On”,就可以选择最后一项。

编译的报告里也会分别列出最快和最慢的时序报告。

)3)选择“Processing”菜单下的“Generate Functional Simulation Netlist”命令,否则将无法启动仿真。

4)新建一个波形仿真文件,文件后缀名为.vwf。

选择File菜单下的New->Other Files->VectorWaveform File。

如下图所示,左边空白栏处是节点名的列表区,右边空白栏处是仿真波形的显示区。

波形编辑窗口默认时间为1us,如果想改变仿真时间,可以选择Edit菜单下End Time,在弹出的对话框中选择需要的时间。

将新建的波形仿真文件保存下来。

5)将需要仿真的信号加入波形编辑窗口。

在列表区任一位置双击或者点击右键选择“InsertNode or Bus…”,弹出的对话框点击“Node Finder”按钮。

在“Node Finder”界面中点击“List”按钮,有关信号的列表会出现在界面的左边,双击需要观察的信号加入至界面右边。

如果工程中用到了很多信号,在左边列表中也会显示很多(Named编辑框默认的是*通配符),可以在Named编辑框中添加需要的信号名称实现模糊查找。

QUARTUSⅡ10波形仿真(ModelSim)入门教程

QUARTUSⅡ10波形仿真(ModelSim)入门教程

QUARTUSⅡ10仿真(ModelSim)入门教程平台软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition内容1 设计流程使用ModelSim仿真的基本流程为:图1.1 使用ModelSim仿真的基本流程2 开始2.1 新建工程打开ModelSim后,其画面如图2.1所示。

图2.1 ModelSim画面1. 选择File>New>Preject创建一个新工程。

打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。

一般情况下,设定Default Library Name为work。

指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。

该对话框如图2. 2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。

图2.2 创建工程的对话框2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D:/led_flow。

图2.3 输入工程信息当单击OK按钮后,在主体窗口的下方将出现Create Project标签,如图2.4所示。

图2.4 Project标签3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。

图2.5 在工程中,添加新项目2.2 在工程中,添加新项目在Add Items to the Project对话框中,包括以下选项:∙Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL或文本文件∙Add Existing File——添加一个已存在的文件∙Create Simulation——创建指定源文件和仿真选项的仿真配置∙Create New Folder——创建一个新的组织文件夹1. 单击Create New File。

打开图2.6所示窗口。

ModelSim do文件使用技巧

ModelSim do文件使用技巧

1do文件简介do文件是一次执行多条命令的脚本,通过do文件可以把多个操作步骤简化成一条命令。

2do文件建立do文件建立方式较多,常用的建立方式有如下两种:a)在ModelSim软件中执行【File】->【New】->【Source】->【do】,会在ModelSim的MDI窗口中打开一个新的文件窗口,编辑完该do文件后执行【File】->【Save As…】即可保存成do文件;b)在Windows系统中新建一个记事本,在“另存为”的时候把后缀名改为.do也可以保存为do文件,可以以记事本的形式进行编辑,也可在ModelSim中打开进行编辑。

3do文件编写步骤ModelSim仿真的一般步骤如下:a)创建一个工程和工程库;b)加载设计文件,包括源文件和testbench文件;c)编译源文件;d)运行仿真,并查看结果;e)进行工程调试。

do文件就是把上述步骤用脚本语言编写出来,仿真时只要执行该do文件就可完成所有的仿真步骤。

对于大型的设计工程而言,在仿真时往往需要不断的修改设计然后仿真查看结果,因此使用do文件可以大大提高仿真效率。

4do文件常用命令(持续更新)TIPS:1、在ModelSim中,对于未知的命令使用方式,可以Transcript工具栏中输入“命令-help”即可以查看该命令详细的使用方式,例如vlib命令,我们输入“vlib -help”后,提示如下:2、若命令使用时仍不知道如何使用,可以使用菜单执行一遍,在Transcript 工具栏中可以看到该命令的完成使用方式,例如我们不知道如何使用vlog命令编译一个模块,那么我们可以使用菜单栏的Compile命令对该模块编译一遍,就可以看到命令的使用方式如下:4.1vlib命令The vlib command creates a design library. You must use vlib rather than operating system commands to create a library directory or index file.使用方法:vlib lib_name命令参数:lib_name:新建库名称4.2 vmap命令The vmap command defines a mapping between a logic library name and a directory by modifying the modelsim.ini file。

modelsim中Ipcatalog使用教程

modelsim中Ipcatalog使用教程

modelsim中Ipcatalog使用教程ModelSim 是一款功能强大的硬件描述语言仿真和调试工具,是业界最常用的数字电路仿真工具之一、其中,IP 目录(IP Catalog)是ModelSim 中存放可重用设计组件的地方。

本教程将向您介绍如何在ModelSim 中使用 IP 目录。

第一步:打开 ModelSim首先,打开 ModelSim 软件。

第二步:创建新工程点击菜单栏上的 "File",然后选择 "New Project"。

在弹出的对话框中,填写工程的名称和路径,然后点击 "OK"。

第三步:打开IP目录在 ModelSim 工程导航面板中,点击右键并选择 "Open IP Catalog"。

这将打开 IP 目录窗口。

第四步:IP组件在IP目录窗口中,可以看到已经添加到目录中的所有IP组件。

您可以使用栏来查找特定的IP组件。

在框中输入关键词,然后点击""。

系统将显示相关的IP组件。

第五步:添加IP组件到工程当您找到想要使用的 IP 组件时,可以将其添加到工程中。

鼠标右键点击 IP 组件,然后选择 "Add to Project"。

在弹出的对话框中,选择要添加到的工程,然后点击 "确定"。

IP 组件将被添加到工程中。

第六步:配置IP组件在工程导航面板中,可以看到添加的 IP 组件。

鼠标右键点击 IP 组件,然后选择 "Edit IP Parameters"。

在弹出的对话框中,您可以配置IP 组件的各种参数和选项。

完成配置后,点击 "OK"。

第七步:仿真IP组件在工程导航面板中,可以看到添加的 IP 组件。

鼠标右键点击 IP 组件,然后选择 "Simulate"。

这将打开仿真窗口,您可以在仿真窗口中观察和分析 IP 组件的行为。

ModelSim高级使用进阶_1_do文件和批处理文件使用_Camp

ModelSim高级使用进阶_1_do文件和批处理文件使用_Camp

Reading D:/EDA_ProgramFile/Modelsim10d/tcl/vsim/pref.tcl
# // ModelSim SE 10.0d Oct 30 2011
# //
# // Copyright 1991-2011 Mentor Graphics Corporation
# // All Rights Reserved.
于这些命令你,其实不然。开始吧!
以下两部分:do 文件编写 和 bat 编写
第一部分:do 文件编写 回到前面我们使用 modelsim 的 GUI 操作的第七步。 然后在工程目录下建立一个 do 文件的(新建一个文本文件,然后把后缀名改成.do 文件即可),我这里新建的文件为 tt.do. OK,利用 netopad++打开(我这里对于文件编写比较喜欢的软件,随大家喜好). 发现文件时空白的.嘿嘿!怎么办?没关系.我们打开 modelsim 的操作界面。 然后查看”Transcript”栏,你会发现,这里有着我们所有的操作命令和操作结果,而我们需要的就是命令.看看我 们的结果。 以下的数据很长,可以不用细看,直接跳到最后,我会告诉你如何看这些东西(中间一段不重要,我用….替换了) /****以下就是我们利用 GUI 操作后的 Transcritpt 显示的全部结果************/
致给奋斗与 FPGA 技术领域的朋友们 By Camp
第一句开始:Reading D:/EDA_Program….” 这里是我安装软件的地方 -----第一步
接下来都是关于 Modelsim 的一些信息。
到 “cd D:/User/mywork/i2c” 这里是修改路径
-----第二步
“vlib work”

手把手教你使用Modsim做仿真

手把手教你使用Modsim做仿真

手把手教你使用Modsim做仿真使用Altera的fpga已有两年了,由于之前有做单片机编程经验,时序都是写好上板测,系统做大了之后,觉得效率比较低,于是想使用Modsim来做仿真,以直观地看出每个时钟内信号的状态。

本文目的是了解使用Modsim的步骤,作者已预先写好了源码和测试代码。

使用软件平台:ModelSim-Altera 6.5b + Quartus II 9.11,打开Modsim软件后,通过File / New / Project 建立工程,如图1-1所示:图1-1 建立工程2,此时出现图1-2界面,需要设定工程名称和保存路径,库文件使用默认路径,图1-2 设定工程名称和路径3,创建好工程和路径之后点击“OK”按钮,可出现添加文件的选项窗口,如图1-3所示:图1-3添加文件的选项窗口4,此处由于已编辑好了所有文件,因此选择“Add Existing File”选项,找到文件保存位置并添加,界面如图1-4所示:图1-4 添加文件到工程5,选择好已写好的文件,点击“打开”可添加进工程,如图1-5所示:图1-5 已添加必要文件6,此时可以通过“编译”按钮进行编译和查错,在编译通过之后可看到文件状态“Status”由“?”变为“√”,在消息窗口中有文件编译完成的提示,如图1-6所示:图1-6 编译通过7,编译完成之后可开始仿真,选中“Library / work / testfile”点击鼠标右键,选择“Simulate”,如图1-7所示:图1-7 Simulate 选项8,此时modsim软件出现图1-8所示界面:图1-8 仿真界面9,在左侧“sim”显示区域空白处点击鼠标右键,添加需要查看波形的寄存器名称,并点击“run”开始仿真,程序计算完毕,将显示出仿真的波形,如图1-9所示:图1-9 仿真结果出现波形之后,可通过“放大/缩小”选项和时间渐进条来查看波形。

仿真时间如果不合适,可自行设定,并回到图1-7所示位置,重新开始仿真。

modelsim使用教程

modelsim使用教程

modelsim使用教程ModelSim是一款常用的硬件描述语言(HDL)仿真工具,本教程将向您介绍如何使用ModelSim进行仿真。

步骤1:安装ModelSim首先,您需要下载和安装ModelSim软件。

在您的电脑上找到安装程序并按照提示进行安装。

步骤2:创建工程打开ModelSim软件,点击"File"菜单中的"New",然后选择"Project"。

在弹出的对话框中,选择工程的存储位置,并为工程命名。

点击"OK"完成工程创建。

步骤3:添加设计文件在ModelSim的工程窗口中,右键点击"Design"文件夹,选择"Add Existing File"。

然后选择包含您的设计文件的目录,并将其添加到工程中。

步骤4:配置仿真设置在工程窗口中,右键点击"Design"文件夹,选择"Properties"。

在弹出的对话框中,选择"Simulation"选项卡。

在"Top level entity"字段中,选择您的设计的顶层模块。

点击"Apply"和"OK"保存设置。

步骤5:运行仿真在ModelSim的工具栏中,找到"Simulate"按钮,点击并选择"Start Simulation"。

这将打开仿真窗口。

在仿真窗口中,您可以使用不同的命令来控制和观察设计的行为。

步骤6:查看仿真结果您可以在仿真窗口中查看信号波形、调试设计并分析仿真结果。

在仿真窗口的菜单栏中,您可以找到一些常用的查看和分析工具,如波形浏览器、信号分析器等。

步骤7:结束仿真当您完成仿真时,可以选择在仿真窗口的菜单栏中找到"Simulate"按钮,并选择"End Simulation"以结束仿真。

modelsim的详细使用方法

modelsim的详细使用方法

一、简介ModelSim是一款由美国Mentor Graphics公司推出的集成电路仿真软件,广泛应用于数字电路和系统设计领域。

它提供了强大的仿真和验证功能,能够帮助工程师快速高效地进行电路设计与验证工作。

本文将详细介绍ModelSim的使用方法,以帮助读者更好地掌握这一工具的操作技巧。

二、安装与配置1. 下载ModelSim安装包,并解压到指定目录2. 打开终端,进入ModelSim安装目录,执行安装命令3. 安装完成后,配置环境变量,以便在任何目录下都能够调用ModelSim程序4. 打开ModelSim,进行软件注册和授权,确保软件可以正常运行三、工程创建与管理1. 新建工程:在ModelSim主界面点击“File” -> “New” -> “Project”,输入工程名称和存储路径,选择工程类型和目标设备,点击“OK”完成工程创建2. 添加文件:在工程目录下右键点击“Add Existing”,选择要添加的源文件,点击“OK”完成文件添加3. 管理工程:在ModelSim中可以方便地对工程进行管理,包括文件的增删改查以及工程参数的设置等四、代码编写与编辑1. 在ModelSim中支持Verilog、VHDL等多种硬件描述语言的编写和编辑2. 在ModelSim主界面点击“File” -> “New” -> “File”,选择要新建的文件类型和存储位置,输入文件名称,点击“OK”完成文件创建3. 在编辑器中进行代码编写,支持代码高亮、自动缩进、语法检查等功能4. 保存代码并进行语法检查,确保代码符合规范,没有错误五、仿真与调试1. 编译工程:在ModelSim中进行代码编译,生成仿真所需的可执行文件2. 设置仿真参数:在“Simulation”菜单下选择“S tart Simulation”,设置仿真时钟周期、输入信号等参数3. 运行仿真:点击“Run”按钮,ModelSim将开始对设计进行仿真,同时显示波形图和仿真结果4. 调试设计:在仿真过程中,可以通过波形图和仿真控制面板对设计进行调试,查找并解决可能存在的逻辑错误六、波形查看与分析1. 查看波形:在仿真过程中,ModelSim会生成相应的波形文件,用户可以通过“Wave”菜单查看波形并进行波形分析2. 波形操作:支持波形的放大、缩小、平移、选中等操作,方便用户对波形进行分析和观察3. 波形保存:用户可以将波形结果保存为图片或文本文件,以便日后查阅和分析七、性能优化与验证1. 时序优化:在设计仿真过程中,可以通过观察波形和性能分析结果,对设计进行优化,提高设计的时序性能2. 逻辑验证:通过对仿真的结果进行逻辑验证,确保设计符合预期的逻辑功能3. 时序验证:对设计的时序性能进行验证,确保信号传输和时钟同步的正确性八、项目输出与文档整理1. 输出结果:在仿真和验证完成后,可以将仿真结果、波形图和性能分析结果输出为文本文件或图片,方便后续的文档整理和报告撰写2. 结果分析:对仿真结果和验证结果进行详细的分析,确定设计的性能和功能是否符合设计要求3. 文档整理:根据仿真和验证结果,进行文档整理和报告撰写,为后续的设计和优化工作提供参考九、总结与展望ModelSim作为一款专业的集成电路仿真软件,具有着强大的功能和丰富的特性,可以帮助工程师进行电路设计与验证工作。

Verilog仿真软件 modelsim

Verilog仿真软件 modelsim

下面是115网盘下载地址:/file/t7321e584f1 Modelsim安装步骤此处以Modelsim Se v6.0的安装为例说明具体的安装步骤以及应该注意的事项:Modelsim Se v6.0的安装:运行Modelsim Se v6.0目录中的的自解压缩安装文件,如下图1-1所示:图1-1 自解压缩安装文件等待解压缩完成之后,会出现Modelsim Se v6.0的安装选择画面,如图1-2所示,图1-2 选择安装模式选择FULL Product 安装模式,出现如图3所示的安装界面,如图1-3所示图1-3选择next,然受选择yes,出现如图1-4所示的安装路径选择对话框,图1-4 选择安装路径这里我选择默认安装路径c:\Modeltech_6.0。

连续两次next出现如图1-5所示的文件安装界面图1-5 安装文件复制完成后会弹出如图1-6所示的对话框图1-6 选择“是(Y)”出现图1-7图1-7 和图1-8图1-8 点击“确定”安装完成后,出现图1-9点击“是(Y)”后在桌面建立快捷方式。

紧接着出现图1-10图1-10 添加桌面快捷方式选择默认。

图1-11 完成安装完成安装,如图1-11所示。

2 配置Modelsim Se v6.0的的环境变量:先找到的安装文件夹的crack目录下的keygen.exe文件,然后运行。

如图2-1所示:点击“Generate”会出现图2-2。

图2-2这表示License文件生成成功。

将生成的License文件license.dat复制到Modelsim Se v6.0安装目录(我这里的安装目录是C:\Modeltech_6.0)。

然后打开计算机属性对话框的“高级”选项卡,找到用户环境变量LM_LICENSE_FILE,然后编辑,输入变量值C:\Modeltech_6.0\license.dat(也就是License文件的物理路径)如图2-3所示:图2-3 Modelsim v6.0 用户环境变量设置编辑完成之后,确定。

ModelSim_破解图文教程

ModelSim_破解图文教程
modelsim 安装与使用图解
没有客套话,开始: 准备安装,我用的是 xp sp2。 软件: modelsim-win32-6.3e-se.exe Crack_ModelSim_SE_6.3e.rar 一、 安装 modelsim 如果机器中已经装了 modelsim,先卸载吧。 安装 modelsim-win32-6.3e-se.exe 选 Full Product,碰到有 Next 只管点,一步步直到完成。
选择 Add Existing File 添加要调试的文件。如果不在此处添加,也可到项目管理的地方添 加 HDL 文件。
这是 modelsim 的主画面,在 Workspace 的 Project 上右键可以添加需要的 HDL 文件。 Add to project Existing File … 把 main_tb.v 添加进来。
配置 LICENSE 路径 我的电脑(右键)属性高级环境变量
系统变量新建 LM_LICENSE_FILE C:\FLEXLM\LICENSE.TXT 选确定退出。
下面也很重要,修改 C:\Modeltech_6.3e\ modelsim.ini 文件。 先复制 modelsim.ini,防止改错。把 modelsim.ini 去掉“只读”属性。 找到 VoptFlow = 1 把 1 改为 0 ,关掉优化选项。不然,调试的时 候看不到波形。(修改 0 这一步不知道具体有用没,但修改后没问题) (以上的 licence 路径可以自己设,但环境变量一定要设正确) 2.将 K:\modeltech_10.0\win32\mgls.dll 的文件替换掉。 ok 现在桌面上的 ModelSim SE 6.3e 图标已经可以进入 modelsim 了。
下面编译 菜单 CompileCompile All,浮动条也有相应的按钮

MODESLsim仿真使用说明

MODESLsim仿真使用说明

1. Modelsim简介Modelsim仿真工具是Model公司开发的。

它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。

对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF Documentation->Tutorial 里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。

但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim 就可以用,它假设使用者对当前操作的前期准备工作都已经很熟悉,所以初学者往往不知道如何做当前操作的前期准备。

2. 安装同许多其他软件一样,Modelsim SE同样需要合法的License,通常我们用Kengen产生license.dat。

解压安装工具包开始安装,安装时选择Full product安装。

当出现Install Hardware Security Key Driver时选择否。

当出现Add Modelsim To Path选择是。

出现Modelsim License Wizard时选择Close。

!!!!安装路径不能有空格!!!不要装在d:\program files\下,否则有可能自动调用时出现找不到d:\program 的情况在C盘根目录新建一个文件夹flexlm,用Keygen产生一个License.dat,然后复制到该文件夹下。

最好这样,我曾经放在D:\altera\Modelsim_6.1d\License.dat都不行修改系统的环境变量。

右键点击桌面我的电脑图标,属性->高级->环境变量->(系统变量)新建。

ModeSim教程

ModeSim教程

ModeSim教程ModeSim○R SE教程版本: 6.1f发⾏:2009-031.本⽂源于Mentor Graphics ModeSim SE Tutorial,版权归Mentor公司所有。

2.本⽂仅供个⼈学习,严禁⽤于商业。

3.未尽事宜,请与Mentor公司磋商。

⽬录........................................................................................................................................ 1 ModeSim ○R SE 教程 .......................................................................................... 1 ⽬录 (2)第1课:ModelSim 仿真的基本概念 (3)1.1主要内容 (3)1.2 ModelSim 基本仿真(Basic Simulation )的流程 (3)1.3 ⽤⼯程(Project )进⾏仿真的流程 (3)1.4 多个库⽂件的仿真流程 (4)1.5 Debug ⼯具 (4)第2课:基本仿真 (5)2.1 主要内容 (5)2.2 简介 (5)2.3 创建⼯作库 (5)2.4 编译设计⽂件 (7)2.5 载⼊设计到仿真器中 (7)2.6 进⾏仿真 (9)2.7 设置断点并在源⽂件窗⼝单步运⾏ (10)2.8 ModelSim 界⾯导航 (13)2.9 本课⼩结 (14)第3课⽤⼯程进⾏仿真 (15)3.1 主要内容 (15)3.2 简介 (15)3.3 创建新⼯程 (15)3.4 编译并载⼊设计到仿真器 (17)3.5 利⽤⽂件夹组织⼯程 (17)3.6 仿真配置 (20)3.7 本课⼩结 (22)第1课:ModelSim仿真的基本概念1.1主要内容1.基本仿真流程;2.⼯程仿真流程;3.多个库⽂件仿真流程;4.调试⼯具。

modelsim 10仿真教程

modelsim 10仿真教程

Modelsim仿真教程一、前期准备1、正确安装好modelsim和quartus软件。

我用的平台的版本是Modelsim SE10.1和Quartus II10.1。

2、在ModelSim中加入Quartus提供的仿真库在ModelSim中进行仿真需要加入Quartus提供的仿真库,原因是下面三个方面:·Quartus不支持Testbench;·调用了megafunction或者lpm库之类的Altera的函数;·时序仿真要在Modelsim下做仿真。

下面以Altera器件为例,介绍如何在ModelSim中加入Altera的仿真库,Quartus II 软件中自带有Altera的仿真库,只要把它拿到ModelSim中去编译一下就可以了,具体步骤如下:(1).设置仿真库路径打开ModelSim安装目录(我用的是ModelSim SE10.1版本,安装在C:\modeltech_10.1目录下),新建文件夹altera,我们就在该目录下存放预编译的各种Altera库。

启动ModelSim SE,在主窗口执行【File】/【Change Directory】命令将路径转到altera文件夹。

(2).新建库Quartus II中提供的仿真库文件存放的路径是....\altera\10.1sp1\quartus\eda\sim_lib,每个库文件提供了两种形式:.v(Verilog)格式和.vhd(VHDL)格式两种,根据你所用的语言选择使用。

用于编译资源库的文件有220model.v,220model.vhd,220pack.vhd,altera_mf.v,altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd,altera_primitives_components.vhd文件。

modelsim怎么仿真波形

modelsim怎么仿真波形

modelsim怎么仿真波形ModelSim是一款用于硬件描述语言(HDL)仿真的软件,它支持Verilog、VHDL等多种硬件描述语言。

使用ModelSim进行波形仿真的基本步骤如下:1.新建工程:首先,在ModelSim中创建一个新的工程。

点击左上角的“File”菜单,选择“Project”,然后在弹出的界面中输入工程名字和选择工程路径,点击“OK”完成新建工程。

2.新建或导入工程文件:完成新建工程后,会弹出添加文件界面。

你可以选择“Create New File”来新建工程文件,或者通过“Add Existing File”来加入已有的工程文件。

3.文档编译:添加文件后,文件会有一个问号表示状态未知,需要右键点击选择“Compile all”进行编译。

编译成功后,文档显示为对勾。

4.开始仿真:在“Project”界面点击“Simulate”后,选择“start simulation”。

并选择你的测试文件(tb)作为仿真主文件。

注意,若在“Library”界面直接点击上方的Simulate可能会报错,需要在该界面的work下,选择需要仿真的文件,右键进行Simulate。

5.添加仿真波形:在仿真阶段,会自动出现sim界面。

此时,你需要手动添加观测信号。

在出现的objects窗口中选择你想观察的信号,右键选择“Add to”,然后选择“wave”,再选择“selected signal”。

6.调整仿真时间并运行仿真:你可以设置仿真时间,然后点击仿真图标开始仿真。

仿真结果会在波形窗口中显示出来,你可以放大或缩小波形以便观察。

以上就是在ModelSim中进行波形仿真的基本步骤。

如果你在使用过程中遇到任何问题,建议查阅ModelSim的官方文档或者在线教程,这些资源提供了详细的帮助和指导。

modelsim详细使用教程(一看就会)

modelsim详细使用教程(一看就会)

Modelsim详细使用方法很多的modelsim教程中都讲得很丰富,但忽视了对整个仿真过程的清晰解读,而且都是拿counter范例举例子,有些小白就不会迁移了。

这里我们着眼于能顺利的跑通一个自己写的程序,一步一步的讲解,如果你是一个初学者,这再适合你不过了,虽然貌似字写得比较多,那是因为写得相当的详细,一看就会啦O(∩_∩)O~一、建立工程1、在建立工程(project)前,先建立一个工作库(library),一般将这个library命名为work。

尤其是第一次运行modelsim时,是没有这个“work”的。

但我们的project 一般都是在这个work下面工作的,所以有必要先建立这个work。

File→new→library点击library后会弹出一个对话框,问是否要创建work,点击OK。

就能看见work.2、如果在library中有work,就不必执行上一步骤了,直接新建工程。

File→new→project会弹出在Project Name中写入工程的名字,这里我们写一个二分频器,所以命名half_clk,然后点击OK。

会出现由于我们是要仿一个自己写的程序,所以这里我们选择Create New File。

在File Name中写入文件名(这里的file name和刚刚建立的project name可以一致也可以不一致)。

注意Add file as type 要选择成Verilog(默认的是VHDL),然后OK。

发现屏幕中间的那个对话框没有自己消失,我们需要手动关闭它,点close。

并且在project中出现了一个half_clk.V的文件,这个就是我们刚刚新建的那个file。

这样工程就建立完毕了。

二、写代码:1、写主程序:双击half_clk.v文件会出现程序编辑区,在这个区间里写好自己的程序,这里我们写一个简单的二分频的代码:module half_clk_dai(clk_in,rst,clk_out);input clk_in;input rst;output clk_out;reg clk_out;always @(posedge clk_in or negedge rst)beginif(!rst)clk_out<=0;elseclk_out<=~clk_out;endendmodule写完代码后,不能马上就编译,要先保存,否则,编译无效。

ModelSim的使用(包含实例)

ModelSim的使用(包含实例)

About Using the ModelSim Software with theQuartus II SoftwareModelSim-Altera Design Flow(For Altera Version)1.Set up the ModelSim-Altera working environment2.Set up a project with the ModelSim-Altera software3.Perform a functional simulation with the ModelSim-Altera software4.Perform a timing simulation with the ModelSim-Altera software ModelSim PE/SE Design Flow(For PE/SE Version)1.Set up the ModelSim working environment2.Set up a project with the ModelSim softwarepile libraries and design files with the ModelSim software4.Perform a functional simulation with the ModelSim software5.Perform a timing simulation with the ModelSim software目录MODELSIM-ALTERA版本仿真流程 (3)1、建立M ODEL S IM-A LTERA工作环境 (3)2、用M ODEL S IM-A LTERA建立工程 (3)3、用M ODEL S IM-A LTERA执行功能仿真 (3)4、用M ODEL S IM-A LTERA执行时序仿真 (4)MODELSIM-PE/SE版本仿真流程 (6)P ERFORMING A F UNCTIONAL S IMULATION WITH THE M ODEL S IM S OFTWARE (6)P ERFORMING A T IMING S IMULATION WITH THE M ODEL S IM S OFTWARE (7)相关知识链接 (9)M ODEL S IM P RECOMPILED L IBRARIES(预编译库) (9)A LTERA F UNCTIONAL S IMULATION L IBRARIES(功能仿真库) (12)A LTERA P OST-F IT L IBRARIES(后适配库) (20)P ERFORMING P OWER A NALYSIS WITH THE Q UARTUS II S OFTWARE AND O THER EDA T OOLS (30)使用QUARTUSII自动运行MODELSIM仿真 (36)1、配置N ATIVE L INK (36)2、运行仿真 (38)3、产生T ESTBENCH (39)ModelSim-Altera版本仿真流程1、建立ModelSim-Altera工作环境1.1版本说明ModelSim-Altera(OEM)version 6.4aQuartusII version 9.0该ModelSim版本支持所有QuartusII支持的Altera器件。

ModelSim仿真工具的使用

ModelSim仿真工具的使用

门级仿真和时序仿真
设置完后,成功编译,quartus II会自动在当前project目录下生成一个 simulation目录,在该目录下有一个Modelsim的文件夹,此文件夹下有仿真所 需要的网表文件及延时反标文件. 如果使用vhdl语言,则网表文件是.vho,反标文件是.sdo;如果使用verilog语 言,则网表文件是.vo,sdf文件也是.sdo.
门级仿真和时序仿真
more settings 中设置如左图 底下的test bench mode name 是*— vlg_vec_tst(对应verilog,*是你的主 程序名),用*_vhd_vec_tst对应vhdl。
然后编译,以后的同上
门级仿真和时序仿真 先在quartus中生成网表文件和时延文件,然后调用modelsim进行仿 真。Quartus II 中设置仿真工具,more settings 也不用设置

Modelsim的安装
Modelsim的安装
使用Modelsim进行仿真 Modelsim运行方式有4种: 用户图形界面模式 交互式命令行模式 不显示modelsim的可视化界面 ,仅通过命令控制台输入的 命令完成所有工作 Tcl和宏模式 编写可执行扩展名为do或者tcl语法文件 批处理模式
其所有操作都在后台进行,用户看不到modelsim的界面,也不需要交 互式输入命令。当工程很大,文件比较多时,用批处理比较方便。直 接运行批处理文件,在后台调用modelsim,执行modelsim的脚本文件 * .do,完成操作
2.建立工程
在modelsim中建立project,选择File ->New ->Project. 在Project Name栏中填写你的项目名字,建议和你的顶层文件名字一 致。Project Location是你的工作目录,你可通过Brose按钮来选择 或改变。Ddfault Library Name可以采用工具默认的work。 Workspace窗口的library中就会出现work库.
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
3、时序仿真 • 时序仿真也称为布局布线后仿真或者后仿真,是指电路已经映射到特
定的工艺环境后,综合考虑电路的路径延时与门延时的影响,验证电 路的行为是否能够在一定时序条件下满足设计构想的功能。 布线抽象出的门级网表、Testbench以及扩展名为SDO的标准延时文 件。 FPGA的实际运行情况是否一致,确保设计的可靠性和稳定性。
仿真系 统软件、 硬件运 行
比较判断 输出、存 储结果
10.1 仿真简介(续1)
• 仿真与验证主要包括3个方面的内容:
第一是仿真系统的组织原则,主要是如何有效的测试目标 系统的理论和方法; 第二是测试模板与测试向量的设计; 最后是仿真工具的使用。
• 一般来说,仿真分为三种类型,即功能仿真、综合后功能仿真
和时序仿真,分别对应于设计输入后、综合完成后、布局布线 完成后等步骤,这些步骤也是仿真的切入点。
10.1 仿真简介(续2)
1、功能仿真 • 功能仿真也称为前仿真,主旨在于验证电路功能是否符合设计要求,
其特点是不考虑电路门延时与路径延时,考察重点为电路在理想环境 下的行为和设计构想是否一致。 • 可综合FPGA仿真代码是用RTL级代码语言描述的,功能仿真的输入是 设计的RTL代码,也就是HDL源文件与Testbench.。
10.2.2 Modelsim仿真步骤(续1)
1、建立库
仿真库是指存储已经编译计单元(design units)的目录。 Modelsim中仿真库可以分为工作库(Working)和资源库(Resource) 两大类。
建立仿真库的常用方 法有两种:一是在界面模 式下,执行主菜单命令 File/New/Library,打开 Create a New Library对 话框,选择第一项生成一 个新库并映射它,如右图 图所示。二是在命令行模 式下也可以在主窗口执行 vlib命令建立新库,语法 格式如下: Vlib<library_name>
生成后的 IP核如下图所示
10.2.3 Modelsim功能仿真(续2)
3、回到QuartusII主窗口,点击Project选项卡,选择 add/Remove Files in Project;点击添加刚生成的 counter.vhd文件到工程中,添加后如下图所示。至此, HDL源文件创建完毕。
10.2.3 Modelsim功能仿真(续3)
4、编写测试文件Testbench。 先建立一个新的工作库如work。Testbench文件可以 在Modelsim中新建的VHDL(或verilog)文档编辑页面中 进行编辑,同样也可以在QuartusII中新建一个VHDL(或 Verilog)空白模板来编写。 除了直接编写测试文件,Modelsim还提供了很多 Testbench模板,可以直接调用以减少工作量,但前提是 设计的顶层HDL源文件已经编译到工作库当中 。
10.2.2 Modelsim仿真步骤(续2)
2、映射库到物理目录;
映射是将已经编译好的设计单元映射为一个库,库路径内的文件应该是 已经编译好的。 常用操作方法有两种:一是 在界面模式下,执行主菜单命令 File/New/Library,打开Create a New Library对话框,选择第二 项映射已经编译好的库,单击 browse按钮选择所已经编译好 的库,如右图所示;第二种方法 是命令模式下主窗口执行vmap命 令,语法格式如下: Vmap<logical_name><direcetory_path>
• 其主要特点是仿真速度快,仿真精度高,而且支持VHDL、
Verilog HDL以及两者的混合使用,是目前业界最流行最通用的 仿真器之一。
10.2.1 Modelsim的图形用户界面
• Modelsim 6.3软件在默认的条件下提供了主窗口、源文件窗口、信号
窗口、波形窗口、数据流窗口、进程窗口、存储器窗口、列表窗口等 不同窗口,如下图。
10.2.1 Modelsim的图形用户界面(续1)
• 主窗口:主窗口main在Modelsim启动时直接打开,是其他窗口运行的 • • • • • • •
基础。 源文件窗口:源文件窗口source主要用来显示和编辑HDL源文件代码。 波形窗口:波形窗口是最常用的窗口之一,主要是用来查看仿真结果。 数据流窗口:数据流窗口Dataflow是一般仿真软件都提供的一个通用 窗口,通过该窗口可以跟踪设计中的物理连接、事件的传播,也可以 用来跟踪寄存器、网线和进程等,极大的丰富了调试方法并对其进行 显示,也可以显示设计中的内部连接。 列表窗口:列表窗口使用表格的形式显示仿真结果。 进程窗口:进程窗口显示了仿真中用到的所有进程列表。 信号窗口Object主要是用来选择需要查看的信号,同时可以在仿真过 程中强制某一个信号的值发生变化,也可以通过信号窗口的 Edit/Force和Edit/Clock命令将任意信号强制转化成时钟信号。 寄存器窗口Memory可以显示设计中寄存器的内容。使用此窗口可以 通过加载源文件或改变存储器内容的方式对设计中的存储器进行初始 化,同时窗口中的内容也可以保存成一个存储器文件,以便日后使用。
vcomvlog vsim
10.2.2 Modelsim仿真步骤
• Modelsim仿真步骤分为以下5步:
(1)建立库; (2)映射库到物理目录; (3)编译源代码,包括所有的HDL代码和Testbench; (4)启动仿真器并加载设计顶层; (5)执行仿真 注:本章主要介绍在界面模式下modelsim的使用方法
EDA设计的仿真和测试
主要内容
• 对仿真的概念进行了简单说明 • 以Modelsim6.2版本为例,重点介绍了
Modelsim软件的使用方法。
10.1 仿真简介
• 仿真是指在软件环境下,验证电路的行为和设计意图是否

一致。 简化的仿真验证系统框图如下:
DUT 测试内容
TestVector 测试向量 Testbench 测试模板
10.2.2 Modelsim仿真步骤(续6)
5、 执行仿真
执行主菜单命令下的Simulate/Run,选中相 应的 选项来执行仿真,也可以选 中使用等快捷键按钮来进行仿真 ,仿真结果将会 显示在Wave窗口中。
10.2.3 Modelsim功能仿真
在本节中,用一个简单的计数器设计实例来演示功能 仿真的具体操作流程,此处使用的QuartusII版本为7.2。 步骤如下: 1、新建一个名为counter的文件夹,在QuartusII新建 一个同名工程,选择合适的器件。在此例中选择的目标芯 片为CycloneIIEP2C35F672C6N。
10.2.1 Modelsim的图形用户界面(续2)
• 在Modelsim的主窗口的工具栏中常用快捷键图标的功能如下表:
图标 Redo Find Collapse All Expand All Compile Compile all Simulate Break Environment up Environment back Environment forward Restart 名称 用途 撤消最后一步操作 在当前窗口查找文本 隐藏当前窗口所有的例程 显示当前窗口所有的例程 打开编译对话框编译源文件 编译工程中的所有文件 指定设计进行仿真 停止当前仿真 返回上一层 返回到前一次选择的内容 返回到后一次选择的内容 装载设计, 将仿真复位到零, Simulate > Run > Restart 重新仿真 Run Length Run Continue Run Run -All Show Templates Language 设定单步仿真步长 单步运行当前仿真 继续仿真直到仿真结束 运行所有仿真到仿真结束 打开一个语言模板 Simulate>Runtime Options Simulate > Run >Run length Simulate > Run > Continue Simulate > Run > Run -All Source>Show LanguageTemplates run Run length run-continue Run-all restart 对应菜单 Edit > Redo Edit > Find Edit > Expand > Collapse All Edit > Expand > Expand All Compile>Compile Compile > Compile All Simulate > Start Simulation Simulate > Break Vcom vlog 对应命令
10.2.2 Modelsim仿真步骤(续3)
3、编译源代码
• GUI图像界面模式下编译文件的快捷方式是建立一个工程,
在工程中添加所要编译的文件,然后执行主窗口的 Compile子菜单中的各种命令或者是右键单击工程中的文 件执行Compile的不同命令。
• 如果编译的是VHDL源文件,其编译顺序由文件的排列顺
5、映射库到物理目录,编译源文件。
10.2.3 Modelsim功能仿真(续4)
6、新建一个仿真工程。
使用工程可以简化编译和仿真的操作。 在Modelsim的主窗口 中选择File/New/Project,设置工程名并向工程中添加文件,设置如 下二图。 设置完成后编译所有文件。
10.2.3 Modelsim功能仿真(续5)
2、计数器的HDL源文件可以在Modelsim中编写,也可 以在QuartusII中编写或者利用MegaWizard生成的IP核以 及直接调用LPM等方式生成。在这里选择利用MegaWizard 生成的简单的IP核作演示使用,在定制中生成的IP核的语 言选择VHDL。
10.2.3 Modelsim功能仿真(续1)
7、启动仿真仿真器加载顶层文件。指定仿真的设计单元 为测试文件counter_tb
相关文档
最新文档