课程设计报告(频率计)
课程设计数字频率计
课程设计数字频率计一、课程目标知识目标:1. 理解并掌握数字频率计的基本原理与功能,了解其在实际生活中的应用。
2. 学会使用特定软件或工具进行数字频率计的设计与仿真。
3. 掌握基本的计数、计时方法,并将其应用于数字频率计的搭建。
技能目标:1. 能够运用已学知识,设计并搭建一个简单的数字频率计,培养动手操作能力和问题解决能力。
2. 能够运用逻辑思维,分析并优化数字频率计的设计方案,提高创新意识和团队协作能力。
3. 能够熟练运用相关软件或工具进行数字频率计的仿真实验,提高计算机操作技能。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发学习热情,形成积极的学习态度。
2. 培养学生的团队合作精神,学会倾听、交流、分享,增强集体荣誉感。
3. 使学生认识到科技对社会发展的作用,提高社会责任感和使命感。
本课程针对初中年级学生,结合电子技术课程内容,以数字频率计为主题,旨在培养学生的动手操作能力、问题解决能力和创新意识。
在教学过程中,注重理论与实践相结合,让学生在实际操作中掌握知识,提高技能,同时注重情感态度价值观的培养,使学生在学习过程中形成积极向上的人生态度。
通过本课程的学习,学生能够达到上述课程目标,为后续相关知识的学习奠定基础。
二、教学内容1. 理论知识:- 数字频率计的基本原理与功能- 频率的定义及测量方法- 计数器、定时器的工作原理2. 实践操作:- 数字频率计的硬件组成与电路设计- 软件仿真工具的使用方法- 设计并搭建数字频率计的实验步骤3. 教学大纲:- 第一阶段:数字频率计基本原理学习(1课时)- 理解频率概念,掌握频率测量方法- 了解数字频率计的基本原理与功能- 第二阶段:硬件组成与电路设计(2课时)- 学习数字频率计的硬件组成- 掌握计数器、定时器的工作原理- 分析并设计数字频率计电路- 第三阶段:软件仿真与实验操作(2课时)- 学习并掌握软件仿真工具的使用方法- 设计实验方案,搭建数字频率计- 进行仿真实验,验证设计效果4. 教材关联:- 本教学内容与教材中“电子技术基础”、“数字电路设计与应用”等章节相关。
数电课程设计报告-数字频率计
数电课程设计报告:频率计目录一、设计指标二、系统概述1.设计思想2.可行性论证3.工作过程三、单元电路设计与分析1.器件选择2.设计及工作原理分析四、电路的组构与调试1.遇到的问题2.现象记录及原因分析3.解决与结果4.功能的测试方法、步骤、设备、记录的数据五、总结1.体会2.电路总图六、参考文献一、设计指标设计指标:要求设计一个测量TTL方波信号频率的数字系统。
测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。
频率的测量范围有四档量程。
1)测量结果显示四位有效数字,测量精度为万分之一。
2)频率测量范围:100.1Hz——999.9kHz,分为:第一档:100.0Hz——999.9Hz第二档:1.000kHz——9.999kHz第三档:10.00kHz——99.99kHz第四档:100.0kHz——999.9kHz3)量程切换可以采用两个按键SWB、SWA手动切换。
扩展要求:一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。
二、自动切换量程提示:1.计数器计到9999时,产生溢出信号CO,启动量程加档。
2.显示不足4位有效数字时量程减档。
三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。
二、系统概述1.设计思想周期性信号频率可通过记录信号在1s内的周期数来确定其频率。
累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。
采样定时、显示锁存、计数器清零的控制时序波形图2.可行性论证用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次增加一个基数,而计数器的连续计数累积计数,所以要对每次锁存后立即清零,让计数器从零开始计数。
课程设计-频率测量仪设计
摘要本文介绍了一种自顶向下分层设计多功能数字频率计的设计方法。
该频率计采用VHDL硬件描述语言编程以MAX+PLUSⅡ为开发环境,极大地减少了硬件资源的占用。
数字频率计模块划分的设计具有相对独立性,可以对模块单独进行设计、调试和修改,缩短了设计周期。
该数字频率计的测量范围为l0Hz~10MHz,响应时间小于等于15秒;其测试结果由4只七段数码管稳定显示,测量误差小于等于1%。
仿真波形与分析结果表明,所设计的电路通过硬件仿真能够满足数字频率计的功能要求,具有理论与实践意义,实现了电子电路自动化的过程。
数字频率计模块划分的设计具有相对独立性,可以对模块单独进行设计、调试和修改,缩短了设计周期。
关键词:VHDL 数字频率计 EDA MAX+PLUSⅡ第一章概述第一节课题研究背景数字频率计是电子测量与仪表技术最基础的电子仪表类别之一, 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,而且它是数字电压必不可少的部件。
当今数字频率计不仅是作为电压表、计算机、天线电广播通讯设备、工艺过程自动化装置。
多种仪表仪器与家庭电器等许多电子产品中的数据信息输出显示器反映到人们眼帘。
集成数字频率计由于所用元件少、投资少,体积小,功耗低,且可靠性高,功能强,易于设计和研发,使得它具有技术上的实用性和应用的广泛性。
不论从我们用的彩色电视机、电冰箱,DVD,还有我们现在家庭常用到的数字电压表数字万用表等等都包含有频率计。
现在频率计已是向数字智能方向发展,即可以很精确的读数也精巧易于控制。
数字频率计已是现在频率计发展的方向,它不仅可以很方便的读数,而且还可以使频率的测量范围和测量准确度上都比模拟先进.而且频率计的使用已是很多的方面,数字卫星、数字通讯等高科技的领域都有应用,今天数字频率计的发展已经不仅仅是一个小电子产品的发展也是整个民族乃至整个国家的发展,所以频率计的发展是一个整体的趋势。
而从民族产业上来说,我们在这种产业中还落后于西方发达国家,这将会关系到民族产业的兴衰。
数字频率计设计报告
数字频率计设计报告数字频率计设计报告一、设计目标本次设计的数字频率计旨在实现对输入信号的准确频率测量,同时具备操作简单、稳定性好、误差小等特点。
设计的主要目标是实现以下功能:1. 测量频率范围:1Hz至10MHz;2. 测量精度:±0.1%;3. 具有数据保持功能,可在断电情况下保存测量结果;4. 具有报警功能,可设置上下限;5. 使用微处理器进行控制和数据处理。
二、系统概述数字频率计系统主要由以下几个部分组成:1. 输入信号处理单元:用于将输入信号进行缓冲、滤波和整形,以便于微处理器进行准确处理;2. 计数器单元:用于对输入信号的周期进行计数,并通过微处理器进行处理,以得到准确的频率值;3. 数据存储单元:用于存储测量结果和设置参数;4. 人机交互单元:用于设置参数、显示测量结果和接收用户输入。
三、电路原理数字频率计的电路原理主要包括以下步骤:1. 输入信号处理:输入信号首先进入缓冲器进行缓冲,然后通过低通滤波器进行滤波,去除高频噪声。
滤波后的信号通过整形电路进行整形,以便于微处理器进行计数。
2. 计数器单元:整形后的信号输入到计数器,计数器对信号的周期进行计数。
计数器的精度直接影响测量结果的精度,因此需要选择高精度的计数器。
3. 数据存储单元:测量结果和设置参数通过微处理器进行处理后,存储在数据存储单元中。
数据存储单元一般采用EEPROM或者Flash 存储器。
4. 人机交互单元:人机交互单元包括显示屏和按键。
用户通过按键设置参数和查看测量结果。
显示屏用于显示测量结果和设置参数。
四、元器件选择根据系统设计和电路原理,以下是一些关键元器件的选择:1. 缓冲器:采用高性能的运算放大器,如OPA657;2. 低通滤波器:采用一阶无源低通滤波器,滤波器截止频率为10kHz;3. 整形电路:采用比较器,如LM393;4. 计数器:采用16位计数器,如TLC2543;5. 数据存储单元:采用EEPROM或Flash存储器,如24LC64;6. 显示屏:采用带ST7565驱动的段式液晶显示屏,如ST7565R。
简易数字频率计(数字电路课程设计)
数字电路课程设计报告1)设计题目简易数字频率计2)设计任务和要求要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:1)测量范围:1H Z—9.999K H Z,闸门时间1s;10 H Z—99.99K H Z,闸门时间0.1s;100 H Z—999.9K H Z,闸门时间10ms;1 K H Z—9999K H Z,闸门时间1ms;2)显示方式:四位十进制数3)当被测信号的频率超出测量范围时,报警.3)原理电路和程序设计:(1)整体电路数显式频率计电路(2)单元电路设计;(a)时基电路信号号(b)放大逻辑电路信号通信号(c)计数、译码、驱动电路号(3)说明电路工作原理;四位数字式频率计是由一个CD4017(包含一个计数器和一个译码器)组成逻辑电路,一个555组成时基电路,一个9014形成放大电路,四个CD40110(在图中是由四个74LS48、四个74LS194、四个74LS90组成)及数码管组成。
两个CD40110串联成一个四位数的十进制计数器,与非门U1A、U1B构成计数脉冲输入电路。
当被测信号从U1A输入,经过U1A、U1B两级反相和整形后加至计数器U13的CP+,通过计数器的运算转换,将输入脉冲数转换为相应的数码显示笔段,通过数码管显示出来,范围是1—9。
当输入第十个脉冲,就通过CO输入下一个CD40110的CP+,所以此四位计数器范围为1—9999。
其中U1A与非门是一个能够控制信号是否输入的计数电路闸门,当一个输入端输入的时基信号为高电平的时候,闸门打开,信号能够通过;否则不能通过。
时基电路555与R2、R3,R4、C3组成低频多谐振荡器,产生1HZ的秒时基脉冲,作为闸门控制信号。
计数公式:]3)2243[(443.1CRRRf++=来确定。
与非门U2A与CD4017组成门控电路,在测量时,当时基电路输出第一个时基脉冲并通过U2A反相后加至CD4017的CP,CD4017的2脚输出高电平从而使得闸门打开。
数字频率计课程设计报告
数字频率计课程设计报告一、课程目标知识目标:1. 让学生理解数字频率计的基本原理,掌握频率、周期等基本概念;2. 使学生掌握数字频率计的使用方法,能够正确操作仪器进行频率测量;3. 引导学生运用已学的数学知识,对测量数据进行处理,得出正确结论。
技能目标:1. 培养学生动手操作仪器的技能,提高实验操作能力;2. 培养学生运用数学知识解决实际问题的能力,提高数据分析处理技能;3. 培养学生团队协作能力,提高实验过程中的沟通与交流技巧。
情感态度价值观目标:1. 培养学生对物理实验的兴趣,激发学习热情;2. 培养学生严谨的科学态度,养成实验过程中认真观察、准确记录的好习惯;3. 引导学生认识到物理知识在实际应用中的价值,提高学以致用的意识。
课程性质:本课程为物理实验课,结合数字频率计的原理与应用,培养学生的实践操作能力和数据分析能力。
学生特点:六年级学生具备一定的物理知识和数学基础,对实验操作充满好奇,具备初步的团队合作能力。
教学要求:结合学生特点,注重理论与实践相结合,以学生为主体,引导学生主动参与实验过程,培养其动手能力和解决问题的能力。
通过课程目标的分解,使学生在实验过程中达到预期的学习成果,为后续教学设计和评估提供依据。
二、教学内容1. 数字频率计基本原理:- 频率、周期的定义与关系;- 数字频率计的工作原理;- 数字频率计的测量方法。
2. 实验操作技能:- 数字频率计的操作步骤;- 实验过程中的注意事项;- 数据记录与处理方法。
3. 教学大纲:- 第一课时:介绍数字频率计的基本原理,让学生了解频率、周期的概念及其关系;- 第二课时:讲解数字频率计的工作原理,引导学生掌握其操作方法;- 第三课时:分组进行实验操作,让学生动手测量不同频率的信号;- 第四课时:对测量数据进行处理与分析,培养学生数据分析能力;- 第五课时:总结实验结果,讨论实验过程中遇到的问题及解决办法。
4. 教材章节:- 《物理》六年级下册:第六章《频率与波长》;- 《物理实验》六年级下册:实验八《数字频率计的使用》。
韶关学院频率计课程设计
韶关学院频率计课程设计一、教学目标本课程旨在通过频率计的教学,使学生掌握频率计的基本原理、结构和应用,培养学生运用频率计解决实际问题的能力。
具体目标如下:1.知识目标:(1)了解频率计的定义、分类和基本原理。
(2)掌握频率计的结构和主要组成部分。
(3)熟悉频率计在不同领域的应用。
2.技能目标:(1)能够分析频率计的基本电路和工作原理。
(2)具备频率计的操作能力和故障排查能力。
(3)能够运用频率计解决实际工程问题。
3.情感态度价值观目标:(1)培养学生对频率计技术的兴趣和好奇心。
(2)树立学生对频率计技术的自信心,培养学生的创新意识。
(3)培养学生团结协作、积极进取的精神风貌。
二、教学内容本课程的教学内容主要包括以下几个部分:1.频率计的基本原理:介绍频率计的定义、分类和基本原理,使学生了解频率计的工作机制。
2.频率计的结构与组成:详细讲解频率计的结构和主要组成部分,包括振荡器、分频器、计数器等。
3.频率计的应用:介绍频率计在通信、电子测量、控制系统等领域的应用,展示频率计在实际工程中的重要性。
4.频率计的操作与维护:教授频率计的操作方法和注意事项,培养学生具备频率计的基本操作能力。
5.频率计故障排查与维修:讲解频率计常见故障的排查方法和维修技巧,提高学生解决实际问题的能力。
三、教学方法为了提高教学效果,本课程将采用多种教学方法相结合的方式进行教学:1.讲授法:通过讲解频率计的基本原理、结构和应用,使学生掌握相关知识。
2.案例分析法:分析实际工程中的频率计应用案例,帮助学生更好地理解频率计的工作原理和应用价值。
3.实验法:安排实验室实践环节,让学生亲自动手操作频率计,培养学生的实际操作能力。
4.讨论法:学生进行课堂讨论,分享学习心得和经验,提高学生的沟通能力和团队合作精神。
四、教学资源为了支持本课程的教学,我们将准备以下教学资源:1.教材:选用权威、实用的频率计教材,为学生提供系统的学习资料。
2.参考书:提供相关的参考书籍,丰富学生的知识体系。
频率计课程设计报告
频率计课程设计报告一、课程目标知识目标:1. 理解频率计的基本概念,掌握频率计的使用方法。
2. 学会运用频率计进行简单的数据收集、统计和分析。
3. 掌握频率分布表的制作方法,并能运用其进行数据处理。
技能目标:1. 能够正确操作频率计,进行实际数据的收集。
2. 培养学生运用频率分布表进行数据处理的能力,提高数据分析技能。
3. 培养学生的观察能力、动手能力和团队合作能力。
情感态度价值观目标:1. 培养学生对数据科学的兴趣,激发学生探索科学规律的欲望。
2. 培养学生严谨、细致的科学态度,养成认真观察、记录数据的良好习惯。
3. 增强学生的团队协作意识,培养学生在团队合作中互相尊重、共同进步的精神。
课程性质分析:本课程为初中物理与数学相结合的实践课程,通过实际操作频率计,让学生在实践中学习数据收集、统计和分析的方法。
学生特点分析:初中生好奇心强,动手能力强,但注意力容易分散,需要通过实际操作和有趣的教学活动来激发学习兴趣。
教学要求:1. 教师应注重理论与实践相结合,让学生在实际操作中掌握知识。
2. 教学过程中要关注学生的个体差异,给予每个学生充分的指导和鼓励。
3. 创设有趣的教学情境,激发学生的学习兴趣,提高课堂参与度。
二、教学内容1. 频率计基础知识:介绍频率计的定义、原理和功能,使学生理解频率计在数据收集与分析中的应用。
- 教材章节:第三章第二节《数据的收集与处理》2. 频率计的操作方法:讲解频率计的正确使用步骤,指导学生进行实际操作。
- 教材章节:第三章第三节《频率计的使用》3. 数据收集与统计:指导学生运用频率计进行实际数据收集,学会制作频率分布表。
- 教材章节:第三章第四节《数据的整理与表示》4. 数据分析与应用:通过对收集到的数据进行分析,引导学生发现数据背后的规律。
- 教材章节:第三章第五节《数据分析与应用》教学安排与进度:第一课时:频率计基础知识学习,了解频率计的原理和功能。
第二课时:学习频率计的操作方法,并进行实际操作练习。
课程设计实验报告(简易频率计)
实验二:简易电子琴一、实验目的(1)学习采用状态机方法设计时序逻辑电路。
(2)掌握ispLEVER 软件的使用方法。
(3)掌握用VHDL 语言设计数字逻辑电路。
(4)掌握ISP 器件的使用。
二、实验所用器件和设备在系统可编程逻辑器件ISP1032一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容设计一个简易频率计,用于测量1MHz 以下数字脉冲信号的频率。
闸门只有1s 一档。
测量结果在数码管上显示出来。
不测信号脉宽。
用一片ISP芯片实现此设计,并在实验台上完成调试。
实验设计:1.产生准确闸门信号(1s)。
100kHz时钟经100K分频(一次完成),再经2分频产生方波,1s 用于计数,1s用于显示结果(及清零);2. 利用闸门信号控制一个计数器对被测脉冲信号进行计数, 1s内计数的结果就是被测信号的频率;3.每次对被测信号计数前,自动清零;4. 计数器采用十进制;5.显示频率值上下浮动,要修改源代码。
6.数码管高低位的接线。
VHDL源代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity fget isport(clk:in std_logic; --输入时钟源 bclk:in std_logic;kout:out std_logic_vector(26 downto 0));end fget;architecture top of fget iscomponent divideport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end component;component gateport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0));end component;component saveport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end component;signal scl:std_logic;signal sco:std_logic;signal sro:std_logic;signal sop:std_logic_vector(26 downto 0);BEGINu1:divide PORT MAP(clk,scl,sco,sro);u2:gate PORT MAP(bclk,scl,sco,sop);u3:save PORT MAP(sop,sro,kout);end;--闸门模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity gate isport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0) --5个8421,一个七段译码);end;architecture art2 of gate iscomponent cnt10 --十进制计数模块port(clk2:in std_logic;en:in std_logic;--使能端clr:in std_logic;--重置qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end component;component seventranse --七段模块port(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end component;signal scout1:std_logic;--输出(十进制)signal scout2:std_logic;signal scout3:std_logic;signal scout4:std_logic;signal scout5:std_logic;signal scout6:std_logic;signal qout1:std_logic_vector(3 downto 0);--8421(下同)signal qout2:std_logic_vector(3 downto 0);signal qout3:std_logic_vector(3 downto 0);signal qout4:std_logic_vector(3 downto 0);signal qout5:std_logic_vector(3 downto 0);signal qout6:std_logic_vector(3 downto 0);signal op2: std_logic_vector(6 downto 0);--七段beginr1:cnt10 PORT MAP(bc1,co1,cl1,qout1,scout1);r2:cnt10 PORT MAP(scout1,co1,cl1,qout2,scout2);r3:cnt10 PORT MAP(scout2,co1,cl1,qout3,scout3);r4:cnt10 PORT MAP(scout3,co1,cl1,qout4,scout4);r5:cnt10 PORT MAP(scout4,co1,cl1,qout5,scout5);r6:cnt10 PORT MAP(scout5,co1,cl1,qout6,scout6);t1:seventranse PORT MAP(qout6,op2);process(co1)beginif (co1'event and co1='0') thenop<=op2&qout5&qout4&qout3&qout2&qout1;--结果显示end if;end process;end;--寄存器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity save isport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end entity;architecture art4 of save issignal temp:std_logic_vector(26 downto 0);beginprocess(ro1)beginif ro1'event and ro1='1'then --上升沿判断temp<=op1;end if;eout<=temp;end process;end art4;--十进制计数器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity cnt10 isport(clk2:in std_logic;en:in std_logic;clr:in std_logic;qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end entity;architecture art3 of cnt10 issignal temp:std_logic_vector(3 downto 0 );beginprocess(clk2,en,clr)beginif (clr='1') then --重置时清0temp<="0000";elsif (en='1') thenif(clk2'event and clk2='1')then --上升沿判断进位if(temp="1001")thentemp<="0000";elsetemp<=temp+1;end if;end if;end if;end process;qout<=temp;cout<='1' when temp="1001" else '0';end art3;--分频模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity divide isport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end;architecture art1 of divide issignal preclk:std_logic;signal temp1:std_logic;signal temp2:std_logic;beginp1: process(clk1) --先进行2500分频variable count:integer range 0 to 2500;beginif clk1'event and clk1='1'thenif count=2499 thencount:=0;preclk<='1';else count:=count+1;preclk<='0';end if;end if;end process;p2: process(preclk) --依次二分频实现闸门beginif preclk'event and preclk='1'thentemp2<=not temp2;end if;end process;p3: process(temp2)variable count2:std_logic;beginif temp2'event and temp2='1'thencount2:=not count2;if count2='1'thenco<='1';temp1<='0';else co<='0';temp1<='1';end if;end if;end process;p4:process(temp2)beginif (temp1='1' and temp2='0') thencl<='1';elsecl<='0';end if;end process;ro<=temp1;end;--七段译码器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity seventranse isport(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end entity;architecture art5 of seventranse isbeginprocess(clk6)begincase clk6 iswhen "0000"=> op2<="1111110";when "0001"=> op2<="0110000";when "0010"=> op2<="1101101";when "0011"=> op2<="1111001";when "0100"=> op2<="0110011";when "0101"=> op2<="1011011";when "0110"=> op2<="1011111";when "0111"=> op2<="1110000";when others=> op2<="0000000";end case;end process;end art5;四、实验小结:实验要求用闸门信号控制计数器计时,于是在十进制计数器模块中添加使能信号en(en=‘1’计数器进行加1 计数,en=‘0’时计数器保持),将闸门信号作为使能信号接入,即可实现1s计数,1s显示。
简易频率计课程设计报告
简易频率计课程设计报告一、课程目标知识目标:1. 理解频率的基本概念,掌握频率的定义及计算方法;2. 了解简易频率计的原理,学会使用简易频率计进行频率测量;3. 能够运用频率知识解释日常生活中的相关现象。
技能目标:1. 学会使用简易频率计进行实验操作,提高实验操作能力;2. 能够运用频率计算公式进行数据处理,提高数据分析能力;3. 通过小组合作,提高沟通协作能力。
情感态度价值观目标:1. 培养学生对物理实验的兴趣,激发学生的探究欲望;2. 培养学生严谨的科学态度,注重实验数据的准确性;3. 增强学生的环保意识,关注频率相关领域的科技发展。
本课程针对初中物理学科,结合学生年级特点,注重理论与实践相结合,提高学生的实验操作能力和数据分析能力。
在教学过程中,关注学生的个体差异,激发学生的学习兴趣,培养学生的团队合作精神。
通过本课程的学习,使学生能够掌握简易频率计的使用,并将其应用于实际生活中,达到学以致用的目的。
同时,注重情感态度价值观的培养,引导学生关注科学进步,提高学生的综合素质。
二、教学内容1. 频率基本概念:引入频率的定义,解释频率与周期的关系,阐述频率在实际应用中的重要性。
2. 简易频率计原理:介绍简易频率计的结构、工作原理及使用方法,结合教材相关章节,进行图文并茂的讲解。
3. 频率测量实验:组织学生进行简易频率计的实验操作,包括搭建实验装置、进行频率测量以及数据处理。
- 教材章节:第三章第三节《频率与振动》- 内容列举:频率的定义、频率与周期的关系、简易频率计的结构与原理、实验操作步骤。
4. 数据处理与分析:指导学生运用频率计算公式进行数据处理,分析实验结果,探讨影响频率测量结果的因素。
5. 课堂讨论与总结:针对实验过程中遇到的问题和现象,组织学生进行讨论,引导学生运用所学知识进行解释,总结实验经验和教训。
教学内容根据课程目标进行科学性和系统性的组织,注重理论与实践相结合。
在教学过程中,依据教材章节进行教学大纲的制定,明确教学内容的安排和进度,确保学生在掌握频率知识的基础上,能够顺利进行简易频率计的实验操作和数据分析。
简易数字频率计课程设计报告
简易数字频率计课程设计报告《简易数字频率计课程设计报告》一、设计目的和背景随着科技的不断发展和普及,计算机已经成为人们生活中不可或缺的一部分。
而数字频率计作为一种常见的电子测量仪器,在工业控制、电信通讯等领域有着广泛的应用。
本课程设计旨在通过设计一款简易的数字频率计,以帮助学生深入了解数字频率计的工作原理和设计方法。
二、设计内容和步骤1. 学习数字频率计的基本原理和工作方式:介绍数字频率计的基本功能、硬件组成和工作原理。
2. 设计数字频率计的主要电路:通过研究数字频率计的电路原理图,设计出适用于本设计要求的主要电路。
3. 制作数字频率计的原型:使用电子元器件将电路图中设计的电路进行实际制作,制作出数字频率计的原型。
4. 测试数字频率计的性能:通过对数字频率计进行各种频率波形的测试,验证其测量准确性和稳定性。
5. 优化和改进设计:根据测试结果和用户反馈,对数字频率计的电路和功能进行进一步优化和改进。
三、预期效果和评价标准通过本课程设计,预期学生能够掌握数字频率计的基本工作原理、主要电路设计和制作方法,并且能够针对实际需求进行优化和改进。
评价标准主要包括学生对数字频率计原理的理解程度、电路设计的准确性和创新性,以及对数字频率计性能进行测试和改进的能力。
四、开展方式和时间安排本课程设计可以结合理论学习和实践操作进行,建议分为以下几个阶段进行:1. 第一阶段(1周):学习数字频率计的基本原理和工作方式。
2. 第二阶段(1周):设计数字频率计的主要电路。
3. 第三阶段(2周):制作数字频率计的原型,并进行性能测试。
4. 第四阶段(1周):优化和改进数字频率计的设计。
总共需要约5周的时间来完成整个课程设计。
五、所需资源和设备1. 教材教辅资料:提供数字频率计的基本原理和电路设计方法的教材或教辅资料。
2. 实验设备和工具:数字频率计的主要电路所需的电子元器件、测试仪器和焊接工具等。
3. 实验环境:提供安全、稳定的实验室环境,以及必要的计算机软件支持。
简易数字频率计课程设计报告 .
目录第一章概述1.1 数字频率计功能及特点1.2 数字频率计应用意义第二章设计方案2.1 设计指标与要求2.2 设计原理2.3方案论证第三章数字频率计分析及参数设计3.1 电路基本原理3.2 时基电路设计3.3闸门电路设计3.4控制电路设计3.5 小数点显示电路设计3.6 整体电路图第四章设计总结4.1 整体电路图4.2 元器件列表4.3 设计心得与体会4.4 附录4.5 参考文献第一章、概述数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。
它不仅可以测量正弦波、方波、三角波、尖脉冲信号和其他具有周期特性的信号的频率,而且还可以测量它们的周期。
经过改装,可以测量脉冲宽度,做成数字式脉宽测量仪;可以测量电容做成数字式电容测量仪;在电路中增加传感器,还可以做成数字脉搏仪、计价器等。
因此数字频率计在测量其他物理量如转速、振动频率等方面获得广泛应用。
1.1 整体功能及特点1,频率计主要用于测量正弦波、矩形波、三角波和尖脉冲及其它各种周期信号。
2,测量信号复制范围0.5-5v3,显示方式:四维十进制LED显示4,测量范围:1HZ-10HZ5,测量误差:≤±0.1%6,自动检测切换量程1.2 数字频率计应用意义数字频率计是一种应用很广泛的仪器电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路。
数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。
集成电路的类型很多,从大的方面可以分为模拟电路和数字集成电路2大类。
数字集成电路广泛用于计算机、控制与测量系统,以及其它电子设备中。
一般说来,数字系统中运行的电信号,其大小往往并不改变,但在实践分布上却有着严格的要求,这是数字电路的一个特点。
数字集成电路作为电子技术最重要的基础产品之一,已广泛地深入到各个领域。
第二章设计方案2.1 设计指标与要求2.1.1 设计指标1,频率计主要用于测量正弦波、矩形波、三角波和尖脉冲及其它各种周期信号。
数字频率计课程设计报告
THANKS
精度
精度是数字频率计的重要指标之一, 表示测量结果与真实值之间的接近程 度。提高精度的方法包括采用高精度 计数器、降低系统误差等。
分辨率
分辨率指数字频率计能够分辨的最小 频率间隔,与计数器的位数有关。
稳定性
稳定性指数字频率计在长时间使用过 程中保持其性能参数不变的能力。提 高稳定性的措施包括选用优质元器件 、优化电路设计等。
计数与显示
采用高速计数器对输入信号的脉冲进行计数,同 时将计数值实时显示在数码管或液晶屏幕上。
3
控制与处理
通过微处理器或单片机等控制核心,实现计数器 的启动、停止、清零等操作,并对计数值进行处 理,得到频率值。
关键技术参数
计数范围
数字频率计的计数范围决定了其能够 测量的频率范围,一般应满足实际需 求。
显示器
选用LED或LCD显示器,用于显示测量结果的频率值。
硬件电路图设计
电源电路
设计稳定的电源电路 ,为整个系统提供所 需的工作电压。
输入信号调理电路
根据实际需求设计输 入信号调理电路,包 括放大倍数、滤波截 止频率等参数的确定 。
微控制器电路
设计微控制器的最小 系统电路,包括晶振 、复位电路等。
02
数字频率计基本原理
频率定义及测量方法
频率定义
频率是单位时间内周期性信号重复的 次数,通常以赫兹(Hz)为单位表示 。
测量方法
频率的测量可以通过计数单位时间内 信号周期的个数来实现。常见的测量 方法包括直接计数法、测周法和等精 度测频法。
数字频率计工作原理
1 2
输入信号处理
数字频率计首先接收输入信号,经过放大、整形 等处理,将其转换为适合计数的脉冲信号。
课程设计数字频率计
课程设计数字频率计一、教学目标本课程旨在通过数字频率计的学习,让学生掌握以下知识目标:理解数字频率计的基本原理和构成;掌握数字频率计的各部分电路及其功能;了解数字频率计在工程和科学研究中的应用。
技能目标为:能够熟练使用数字频率计进行频率测量;能够分析并解决数字频率计使用中遇到的问题。
情感态度价值观目标为:培养学生对电子技术的兴趣和好奇心,激发学生探索科学的热情。
二、教学内容本课程的教学内容主要包括数字频率计的基本原理、构成及其各部分电路的功能,数字频率计的使用方法,以及数字频率计在实际工程和科学研究中的应用。
具体涉及教材的第三章“数字频率计”,内容涵盖数字频率计的定义、分类、工作原理、主要技术指标、使用方法等。
三、教学方法为了提高教学效果,将采用多种教学方法相结合的方式进行教学。
包括:讲授法,用于讲解数字频率计的基本原理、构成及使用方法;讨论法,用于分析数字频率计在实际应用中遇到的问题;实验法,用于让学生亲自动手操作数字频率计,加深对知识的理解。
四、教学资源教学资源包括教材、实验设备、多媒体资料等。
教材为《电子技术基础》第三版,实验设备包括数字频率计、示波器等,多媒体资料包括教学PPT、视频等。
这些资源将有助于支持教学内容和教学方法的实施,提高学生的学习兴趣和效果。
五、教学评估本课程的评估方式包括平时表现、作业、考试等。
平时表现主要评估学生在课堂上的参与度、提问回答等情况;作业包括课堂练习和课后作业,主要评估学生的理解和应用能力;考试包括期中考试和期末考试,主要评估学生对课程知识的掌握程度。
评估方式将客观、公正,全面反映学生的学习成果。
六、教学安排本课程的教学安排如下:共32课时,每周2课时,共计16周。
教学地点为教室。
教学进度安排合理、紧凑,确保在有限的时间内完成教学任务。
同时,教学安排还考虑学生的实际情况和需要,如学生的作息时间、兴趣爱好等,以提高学生的学习效果。
七、差异化教学根据学生的不同学习风格、兴趣和能力水平,本课程将设计差异化的教学活动和评估方式。
课程设计数字频率计
课程设计 数字频率计一、课程目标知识目标:1. 学生能理解数字频率计的基本原理,掌握其电路组成和工作方式。
2. 学生能运用数学知识,计算出数字频率计的测量范围,并解释相关计算公式。
3. 学生能运用物理知识,解释数字频率计测量频率时的误差来源。
技能目标:1. 学生能够独立完成数字频率计的搭建,并进行简单的调试和测量。
2. 学生能够运用所学知识,解决实际测量中遇到的问题,提高动手操作能力和问题解决能力。
3. 学生能够通过小组合作,进行数字频率计的优化设计和创新改进。
情感态度价值观目标:1. 学生能够认识到数字频率计在实际应用中的重要性,激发对电子技术的学习兴趣。
2. 学生通过动手实践,培养团队协作意识,增强克服困难的信心和勇气。
3. 学生能够养成严谨的科学态度,注重实验数据的准确性和实验过程的完整性。
课程性质:本课程属于电子技术实践课程,以项目式教学为主,结合理论教学和动手实践。
学生特点:学生处于八年级,具有一定的数学、物理基础和动手能力,对电子技术有一定的好奇心和兴趣。
教学要求:注重理论与实践相结合,引导学生主动探究,培养创新意识和实践能力。
在教学过程中,关注学生的个体差异,因材施教,确保每个学生都能在课程中收获成果。
通过课程学习,使学生能够将所学知识应用于实际生活和未来学习。
二、教学内容1. 数字频率计基本原理:介绍频率计的作用,原理及其在电子测量中的应用,对应教材第3章第2节。
- 电路组成和工作方式- 频率测量方法及误差来源2. 数字频率计电路分析与搭建:分析数字频率计的电路结构,进行实际操作搭建,对应教材第3章第3节。
- 电路元件的识别与选用- 电路搭建步骤及注意事项3. 数字频率计的测量与调试:学习测量原理,进行实际测量和调试,对应教材第3章第4节。
- 测量范围计算与公式解释- 调试方法及技巧4. 数字频率计的优化与创新:针对现有频率计进行优化设计和创新改进,对应教材第3章第5节。
- 小组合作,讨论设计方案- 创新改进,提高测量精度和稳定性教学大纲安排:第1课时:数字频率计基本原理学习第2课时:数字频率计电路分析与搭建第3课时:数字频率计的测量与调试第4课时:数字频率计的优化与创新设计教学内容进度:第1-2周:学习基本原理,进行电路分析与搭建第3周:进行测量与调试,总结问题与经验第4周:优化设计与创新改进,展示成果与评价反思三、教学方法1. 讲授法:教师通过生动的语言和形象的表达,讲解数字频率计的基本原理、电路组成和测量方法,使学生系统地掌握理论知识,对应教材第3章第2-3节。
频率计课程设计实验报告
课程设计报告课程设计名称:电子系统综合课程设计课程设计题目:频率计频率计课程设计实验报告一、设计任务要求1、根本要求:设计一个3位十进制数字显示的数字式频率计,其频率测量范围在1MHz内。
量程分别为10kHz,100kHz和1MHz三档,即最大读数分别为和999kHz。
这里要求量程可以自动转换,详细要求如下:1〕、当读数大于999时,频率计处于超量程状态,此时显示器发出溢出指示〔最高位显示F,其余各位不显示数字〕,下一次测量时,量程自动增大一档。
2〕、当读数小于099时,频率计处于欠量程状态,下一次测量时,量程自动减小一档。
3〕、采用记忆显示方式,即计数过程中不显示数据,待计数过程完毕以后,显示测频结果,并将此显示结果保持到下一次计数完毕,显示时间不小于1s。
4〕、小数点位置随量程变更自动移位。
二、设计方案1、系统功能〔根本功能和附加功能〕根本功能:显示待测频率,LED灯显示小数点,显示待测频率的量程。
附加功能:实现量程自由变化,通过拨码开关控制待测频率大小。
2、系统设计方案说明1、分频模块:由于测频时不同量程档需要不同的时基信号,分频模块是必不可少的。
系统通过试验箱给定的50MHZ的频率通过分频变成0.5HZ,即1秒钟得计数时间,通过1秒钟的记数时间里待测频率上升沿的数量实现频率测定,待测频率通过分频,多路器等实现各频率的测定2 计数模块:想要实现频率的测定,其实就是在1秒钟的计数时间里对待测频率信号上升沿进展计数,所以计数模块是不可缺少的,本计数器需输出指示超量程和欠量程状态的信号。
3 量程控制模块:对待测频率的量程进展判断,确定量程以后,根据不同的量程,在试验箱上显示,我们的设计是4个量程〔1,2,3量程和超量程〕。
:4 BCD译码模块:用到实验箱SOPC上的6个静态共阳数码管中的后三个数码管,并且试验箱内部有译码器,只需要输入4位数就可以在数码管上显示。
三、各模块程序如下:1、分频模块程序:1〕百分频模块程序:module plj(clk,dingshi);input clk;output dingshi;reg [40:0] counter;reg dingshi;always @(posedge clk)beginif (counter==49) //计数时钟上升沿数量,100次时钟周期begin //输出一周期信号,得到100分频信号。
课程设计 频率计的设计
学生姓名(学号) )课程名称数字电子技术设计题目数字频率计设计完成期限自 2009 年 6 月 24至 2009 年 6月 30 共 1 周设计依据已学过电路分析、模拟电子技术、数字电子技术,按照教学计划要求进行《数字电子技术课程设计》。
设计要求及主要内容1、设计一个3位十进制频率计,其测量范围为1MHz。
量程分为10KHz、100KHz、1MHz三档(最大读数分别为9.99KHz、99.9KHz、999KHz),量程转换规则如下:(1)当读数大于999时,频率计处于超量程状态,此时显示器发出溢出指示。
下一次测量时,量程自动增大一档。
(2)当读数小于099时,频率计处于欠量程状态,下一次测量时,量程自动减小一档。
2、显示方式如下:(1) 采用记忆显示方式。
即计数过程中不显示数据,等到计数过程结束以后,显示计数结果,并将此计数结果保持到下一次计数结束。
显示时间不小于1s。
(2) 小数点位置随量程变换自动移位。
3、对电路进行仿真。
参考资料[1]MAXPLUSII 及 VHDL 使用教程.东南大学[2]康华光.电子技术基础数字部分.高等教育出版社.指导教师签字日期目录一、设计任务 (4)二、设计条件 (4)三、设计要求 (4)四、总体概要设计 (4)五、各单元模块设计和分析 (5)六、元器件清单 (7)七、设计总结 (7)八、参考文献 (7)九、附数字钟课程设计仿真图 (8)十、实验心得 (9)引言:数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。
如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。
因此,数字频率计是一种应用很广泛的仪器。
一、设计任务:频率计设计二、设计条件:本设计基于Multisim仿真软件的调试三、设计要求:1、设计一个3位十进制频率计,其测量范围为1MHz。
量程分为10KHz、100KHz、1MHz三档(最大读数分别为9.99KHz、99.9KHz、999KHz),量程转换规则如下:(1)当读数大于999时,频率计处于超量程状态,此时显示器发出溢出指示。
多功能频率计课程设计
多功能频率计课程设计一、课程目标知识目标:1. 学生能理解并掌握频率的概念,了解频率在电子技术中的应用。
2. 学生能掌握多功能频率计的原理及使用方法,理解其工作原理。
3. 学生能了解不同类型传感器的工作原理,并将其与频率计结合使用。
技能目标:1. 学生能运用所学知识,正确操作多功能频率计,进行频率测量。
2. 学生能通过实验,分析实验数据,解决实际问题。
3. 学生能运用创新思维,设计简单的基于频率计的电子电路。
情感态度价值观目标:1. 学生通过学习,培养对电子技术的兴趣和热情。
2. 学生在实验过程中,养成团队合作精神,培养沟通与协作能力。
3. 学生能够认识到电子技术在日常生活中的重要性,增强学以致用的意识。
课程性质:本课程为电子技术实践课程,强调理论与实践相结合,注重培养学生的动手操作能力和创新思维。
学生特点:学生为初中生,具备一定的电子技术基础知识,好奇心强,喜欢动手操作。
教学要求:教师应注重启发式教学,引导学生主动探索,关注学生的个体差异,鼓励学生积极参与实验操作和讨论。
在教学过程中,注重培养学生的实际操作能力、问题解决能力和团队协作能力。
通过本课程的学习,使学生将所学知识应用于实际生活中,提高学生的综合素养。
二、教学内容本课程依据课程目标,结合教材内容,组织以下教学安排:1. 理论知识:- 频率概念及其计算方法- 多功能频率计的原理与功能- 常见传感器的工作原理及与频率计的结合应用2. 实践操作:- 多功能频率计的操作步骤及注意事项- 频率测量实验:使用多功能频率计测量不同频率信号- 创新实验:设计简单的电子电路,结合传感器与频率计进行实际应用3. 教学大纲:- 第一章:频率概念及计算方法- 第二章:多功能频率计原理与操作- 第三章:传感器工作原理及应用- 第四章:频率测量实验及创新实验4. 教学进度安排:- 理论知识:共计4课时,每课时45分钟- 实践操作:共计6课时,每课时45分钟5. 教材章节及内容:- 教材第三章:频率与周期- 教材第四章:电子测量仪器及其使用- 教材第五章:传感器及其应用教学内容注重科学性和系统性,结合教材章节,通过理论与实践相结合的方式,使学生在掌握基本知识的同时,提高实际操作能力。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
设计题目:数字频率计的设计与制作
一、课程设计的主要内容与目的
1. 主要内容:数字频率计的主要功能是测量周期信号的频率,频率是单位时间内信号
发生周期变化的次数,如果我们能在给定的1S时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。
数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来,这就是数字频率计的基本原理。
从数字频率计的基本原理出发,根据设计要求,得到如图1所示的电路框图。
图1
2. 设计目的:(1)掌握数字频率计的工作原理
(2)根据课程设计,熟悉一般产品设计的流程和方法。
(3)重点掌握数字频率计设计的计数部分。
二、主要技术指标
1.频率测量范围:10~9999HZ。
2.输入信号波形:任意周期信号,输入电压幅度>300mv.
3.电源:220V,50HZ。
系统框图中各部分的功能及实现方法
(1)电源与整流稳压电路
框图中的电源采用50Hz的交流市电。
市电被降压、整流、稳压后为整个系统提供直流电源。
系统对电源的要求不高,可以采用串联式稳压电源电路来实现。
(2)全波整流与波形整形电路
本频率计采用市电频率作为标准频率,以获得稳定的基准时间。
按国家标准,市电的频率漂移不能超过0.5Hz,即在1%的范围内。
用它作普通频率计的基准信号完全能满足系统的要求。
全波整流电路首先对50Hz交流市电进行全波整流,得到如图2(a)所示100Hz的全波整流波形。
波形整形电路对100Hz信号进行整形,使之成为如图2(b)所示100Hz的矩形波。
波形整形可以采用过零触发电路将全波整流波形变为矩形波,也可采用施密特触发器进行整形。
图2 全波整流与波形整形电路的输出波形
(3)分频器
分频器的作用是为了获得1S的标准时间。
电路首先对图2所示的100Hz信号进行100分频得到如图3(a)所示周期为1S的脉冲信号。
然后再进行二分频得到如图3(b)所示占空比为50%脉冲宽度为1S的方波信号,由此获得测量频率的基准时间。
利用此信号去打开与关闭控制门,可以获得在1S时间内通过控制门的被测脉冲的数目。
图3 分频器的输出波形
(4)信号放大、波形整形电路
为了能测量不同电平值与波形的周期信号的频率,必须对被测信号进行放大与整形处理,使之成为能被计数器有效识别的脉冲信号。
信号放大与波形整形电路的作用即在于此。
信号放大可以采用一般的运算放大电路,波形整形可以采用施密特触发器。
(5)控制门
控制门用于控制输入脉冲是否送计数器计数。
它的一个输入端接标准秒信号,一个输入端接被测脉冲。
控制门可以用与门或或门来实现。
当采用与门时,秒信号为正时进行计数,当采用或门时,秒信号为负时进行计数。
(6)计数器
计数器的作用是对输入脉冲计数。
根据设计要求,最高测量频率为9999Hz,应采用4位十进制计数器。
可以选用现成的10进制集成计数器。
(7)锁存器
在确定的时间(1S)内计数器的计数结果(被测信号频率)必须经锁定后才能获得稳定的显示值。
锁存器的作用是通过触发脉冲控制,将测得的数据寄存起来,送显示译码器。
锁存器可以采用一般的8位并行输入寄存器,为使数据稳定,最好采用边沿触发方式的器件。
(8)显示译码器与数码管
显示译码器的作用是把用BCD码表示的10进制数转换成能驱动数码管正常显示的段信号,以获得数字显示。
选用显示译码器时其输出方式必须与数码管匹配。
三、资料的搜集及整理。
数字频率计的主要功能是测量周期信号的频率。
频率是单位时间(1S)内信号发生周期变化的次数。
现在一般用三种方法来设计频率计。
实现数字频率计的方法有如下三种:
1.单片机设计方法。
2. 硬件描述语言VHDL,FPGA/CPLD 可编程逻辑器件设计方法。
3. 模拟电子线路、数字电子技术及电路综合设计的方法。
三种方法的优缺点如下:
用单片机和一些外围电路来完成频率计的设计,结构清晰,稳定性好,控制能力强,造价成本也相对低一些,要求设计者会高级计算机语言(C 语言)或汇编语言,数字电路和模拟电路等专业基础知识和相应的单片机基础。
I 用硬件描述语言VHDL 和可编程逻辑器件FPGA/CPLD 来实现数字频率计的设计,准确度高,造价成本相对于单片机来说稍高一些,也要求设计者掌握硬件描述语言VHDL 和可编程逻辑器件FPGA/CPLD 的相关知识,数字电路和模拟电路等专业基础知识和理论。
II 还可以用模拟电子线路、数字电子技术及电路综合设计的方法,用一些集成芯片和外围电路组成时序电路和组合电路,当然这种方法结构比较复杂,方法比较难,准确度也较前两种差,但是只需要掌握数字电路和模拟电路等专业基础知识即可完成设计。
四、 个人具体设计方案
1.电源部分
稳压电源采用7805来实现,电源的稳定度与波纹系数均能达到要求。
2.秒信号产生电路
采用晶振管32768来产生,电路简单可靠,结果准确。
3.数字频率计计数部分(主要内容)选用芯片74LS90
频率计数器由四块二-十进制BCD 码芯片74LS90组成,74LS90的芯片逻辑如下图4所示
图4 74LS90逻辑图
R0(1)、R0(2)、R9(1)、R9(2)的高低电平的改变控制着74LS90的计数和清零,CKA 和CKB 为脉冲输入端,Q0、Q1、Q2、Q3为输出端。
真值表如表1所示 表1 74LS90真值表
复位输入
输出 R0(1) R0(2) R9(1) R9(2) Q0 Q1 Q2 Q3 H H L × L L L L H H × L L L L L × × H H H
L
L
H
× L × L 计数
L × L × L
×
×
L
× L L ×
将Q3接到CKA 并且将Q0接到CKB ,便可实现进位。
四片74LS90级联构成4位十进制。