光刻胶cd gap -回复
半导体制造专业英语术语
球栅阵列舞厅式布局,超净间的布局 圆桶型反应室 阻挡层金属势垒电压backing film 背膜baffle vt ・ 困惑,阻碍,为难(挡片)baffle assembly n. 集合,装配,集会,集结,汇 编 (挡片块)丨 基极,基区 batch 批 bay and chase beam blow-up离子束膨胀 beam deceleration 束流减速分类代码号双极双极技术(工艺) bird ' s beak effect 鸟嘴效应blanket deposition 均厚淀积blower增压泵boat 舟BOE 氧化层刻蚀缓冲剂Bon voyage [法]再见,一路顺风[平安]bonding pads 压点bonding wire 焊线,引线boron(B) 硼boron trichloride(BCL3) 三氯化硼boron trifluoride (B F3)三氟化硼borophosphosilicate glass(BPSG)硼磷硅玻璃borosilicate glass(BSG) 硼硅玻璃bottom antireflective coating(BARC)下减反射涂层boule单晶锭bracket n.墙上凸出的托架,括弧,支架v.括在一起breakthrough step 突破步骤,起始的干法刻蚀步骤brightfield detection 亮场检查brush scrubbing 涮洗bubbler 带鼓泡槽buffered oxide etch(BOE) 氧化层腐蚀缓冲液bulk chemical distribution 批量化学材料配送bulk gases 大批气体bulkhead equipment layout 穿壁式设备布局bumped chip 凸点式芯片buried layer 埋层burn-box 燃烧室(或盒) burn-in 老化CCA 化学放大(胶) cantilever n. 建]悬臂cantilever paddle 悬臂桨cap oxide 掩蔽氧化层capacitance 电容capacitance-voltage test(C-Vtest) 电容-电压测试capacitive coupled plasma 电容偶合等离子体capacitor 电容器carbon tetrafluoride(CF4) 四氟化碳caro ' s acid3 号液carrier 载流子carrier-depletion region 载流子耗尽层carrier gas 携带气体cassette (承)片架cation 阳离子caustic 腐蚀性的cavitation 超声波能CD 关键尺寸CD- SEM 线宽扫描电镜Celsius adj.摄氏的center of focus(COF) 焦点焦平面center slow 中心慢速central processing unit(CPU) 中央处理器ceramic substrate 陶瓷圭寸装CERDIP 陶瓷双列直插封装Channel 沟道channel length 沟道长度channeling 沟道效应charge carrier 载流子chase技术夹层chelating agent 螯合齐ijchemical amplification(CA) 化学放大胶chemical etch mechanism 化学刻蚀机理chemical mechanical planarization(CMP) 化学机械平坦化chemical solution 化学溶液chemical vapor deposition(CVD) 化学气相淀积chip 芯片chip on board(COB)板上芯片chip scale package(CSP)芯片尺寸圭寸装circuit geometries 电路几何尺寸class number 净化级另卩cleanroom 净化间cleanroom protocol 净化间操作规程Clearfield mask 亮场掩膜板Cluster tool 多腔集成设备CMOS 互补金属氧化物半导体CMP 化学机械平坦化Coater/developer track 涂胶/显影轨道Cobalt silicide 钻硅化合物coefficient n. [数]系数Coefficient of thermal expansion(CTE)热涨系数Coherence probe microscope 相干探测显微镜Coherent light 相干光coil v. 盘绕,卷Cold wall 冷壁Collector 集电极Collimated light 平行光Collimated sputtering 准直溅射Compensate v.偿还,补偿,付报酬Compound semiconductor 化合物半导体Concentration 浓度Condensation 浓缩Conductor 导体constantly adv・不变地,经常地,坚持不懈地Confocal microscope 共聚焦显微镜Conformal step coverage 共型台阶覆盖Contact 接触(孔)Contact alignment 接触式对准(光刻)Contact angle meter 接触角度仪Contamination 沾污、污染conti boat 连柱舟conticaster [冶]连铸机Continuous spray develop 连续喷雾显影Contour maps 包络图、等位图、等值图Contrast 对比度、反差contribution n.捐献,贡献,投稿Conventional-line photoresist 常规I 线光刻胶Cook' s theory库克理论Copper CVD 铜CVD Copper interconnect 铜互连Cost of ownership(COO) 业主总成本Covalent bond 共价键Critical dimension 关键尺寸Cryogenic aerosol cleaning 冷凝浮质清洗Cryogenic pump(cryopump) 冷凝泵Crystal 晶体Crystal activation 晶体激活Crystal defect 晶体缺陷Crystal growth 晶体生长Crystal lattice 晶格Crystal orientation 晶向CTE 热涨系数Current-driven current amplifier 电流驱动电流放大器CVD 化学气相淀积Cycle time 周期CZ crystal puller CZ 拉单晶设备Czochralski(CZ) method 切克劳斯基法Ddamascene 大马士革工艺darkfiled detection 暗场检测darkfiled mask 暗场掩膜版DC bias 直流偏压decompose v. 分解,(使)腐烂deep UV(DUV) 深紫外光default n.默认(值),缺省(值),食言,不履行责任,[律]缺席v.疏怠职责,缺席,拖欠,默认defects density 缺陷密度defect 缺陷deglaze 漂氧化层degree of planarity(DP) 平整度dehydration bake 去湿烘培,脱水烘培density 密度deplention mode 耗尽型degree of focus 焦深deposit n.堆积物,沉淀物,存款,押金,保证金,存放物vt ・存放,堆积vi.沉淀deposition 淀积deposited oxide layer 淀积氧化层depth of focus 焦深descum 扫底膜design for test(DFT)可测试设计desorption 解吸附作用develop inspect 显影检查development 显影developer 显影液deviation n.背离device isolation 器件隔离device technology 器件工艺DI water 去离子水Diameter n.直径diameter grinding 磨边diborane ( B2H6 )乙硼烷dichlorosilane(H2SiCL2) 二氯甲硅烷die 芯片die array 芯片阵列die attach 粘片die-by-die alignment 逐个芯片对准dielectric 介质dielectric constant 介电常数die matrix 芯片阵列die separation 分片diffraction 衍射diffraction-limited optics 限制衍射镜片diffusion 扩散diffusion controlled 受控扩散digital/analog数字/模拟digital circuit diluent direct chip attach( DCA) directionality discrete dishing dislocation dissolution ratedissolution rate monitor(DRM) 溶解率监测DNQ-novolak 重氮柰醌一酚醛树脂Donor 施主dopant profile 掺杂刨面) doped虚拟的, region 掺杂区 doping 掺杂 dose monitor剂量检测仪 dose,Q 剂量 downstream reactor 顺流法反应 drain 漏 drive-in推进 dry etch 干法刻蚀 dry mechanical pump干式机械泵 dry oxidation 干法氧化dummy n.哑巴,傀儡,假人,假货 adj. 假的,虚构的 n.[计]哑元 dynamic adj. 动力的,动力学的,动态的 E economies of scale 规模经济 edge bead removal 边缘去胶 edge die 边缘芯片edge exclusion 无效边缘区域 electrically erasable PROM 电可擦除 EPROM electrode 电极 electromigration 电迁徙 electron beam lithography 电子束光刻electron cyclotron resonance 电子共振回旋加速器 electron shower 电子簇射,电子喷淋 electron stopping 电子阻止 electronic wafer map 硅片上电性能分布图 electroplating 电镀 electropolishing 电解拋光electrostatic chuck 静电吸盘 electrostatic discharge(ESD)静电放电 ellipsometry 椭圆偏振仪,椭偏仪emitter 发射极 endpoint detection 终点检测 engineering n.工程(学) electrostatic discharge(EDX)能量弥散谱仪 enhancement mode 增强型 epi 夕卜延epitaxial layer 夕卜延层epoxy underfill 环氧树脂填充不足erasable PROM 可擦除可编程只读存储器erosion腐蚀,浸蚀establish vt・建立,设立,安置,使定居,使人民接受,确定v.建立etch 刻蚀etch bias刻蚀涨缩量etch profile 刻蚀刨面etch rate 刻蚀速率etch residue 刻蚀残渣etch uniformity 刻蚀均匀性etchant 刻蚀剂etchback planarization 返刻平坦化eutectic attach 共晶焊接eutectic temperature 共晶温度evaporation 蒸发even adj.平的,平滑的,偶数的,一致的,平静的,恰好的,平均的,连贯的adv.[加强语气]甚至(・・・也), 连…都,即使,恰好,正当vt.使平坦,使相等vi. 变平,相等n.偶数,偶校验exceed vt. 超越,胜过vi.超过其他excimer laser 准分之激光exposal n. 曝光,显露exposure 曝光exposure dose 曝光量extraction electrode 吸极extreme UV 极紫外线extrinsic silicon 掺杂硅F Fables无制造厂公司fabrication 制造facilities 设施factor n.因素,要素,因数,代理人fast ramp furnaces 快速升降温炉fault model 失效模式FCC diamond 面心立方金刚石feature size 特征尺寸FEOL 前工序Fick ' s lawsFICK 定律field-effect transistor 场效应晶体管field oxide 场氧化field-by-field alignment 逐场对准field-programmable PROM 现场可编程只读存储器film 膜film stress 膜应力final assembly and packaging 最终装配和圭寸装final test 终测first interlayer dielectric(ILD-1)第一层层间介质fixed oxide charge 固定氧化物电荷flats 定位边flip chip 倒装芯片float zone 区熔法fluorosilicate glass(FSG) 氟化玻璃focal length 焦距focal plane 焦平面focal point 焦点focus聚焦focus ion beam(FIB) 聚焦离子束footprint 占地面积formula n.公式,规则,客套语forward bias 正偏压four-point probe 四探针frenkel defect Frenkel 缺陷front-opening unified pod(FOUP)前开口盒functional test 功能测试furnace flat zone 恒温区G g-line G 线gallium(Ga)镓gallium arsenide(GaAs)砷化镓gap fill间隙填充gas 气体gas cabinet 气柜gas manifold 气瓶集装gas phase nucleation 气相成核gas purge 气体冲洗gas throughput 气体产量gate 栅gate oxide 栅氧化硅gate oxide integrity 栅氧完整性germanium(Ge) 错getter 俘获glass玻璃glazing 光滑表面global alignment 全局对准global planarization 全局平坦化glow discharge 起辉放电gray area 灰区,技术夹层gross defect 层错grove n. 小树林grown oxide layer 热氧化生长氧化层HHalogen 卤素hardbake 坚膜hardware n.五金器具,(电脑的)硬件,(电子仪器的)部件HEPA filter 高效过滤器hermetic sealing 密圭寸heteroepitaxy 异质外延heterogeneous reaction 异质反应hexamethyldisilazane(HMDS)六甲基二硅氨烷high-density plasma(HDPCVD) 高密度等离子体化学气相淀积高温扩散炉 high-density plasma etch 高密度等离子刻蚀 high-pressure oxidation 高压氧化high-temperature diffusion furnace high vacuum 高真空 high vacuum pumps 高真空泵 hillock 小丘(铝)尖刺 homoepitaxy 同质外延 homogeneous reaction 同质反应 horizontal adj.地平线的,水平的 horizontal furnace 臣卜式炉 hot electron 热电子 hot wall 热壁 hydrochloric acid(HCL)盐酸 hydrofluoric acid(HF)氢氟酸 hydrogen(H2)氢气 hydrogen chloride(HCL)氯化氢 hydrogen peroxide(H2O2)双氧水 hydeophilic 亲水性 hydrophobic 憎水性,疏水性 hyperfiltration 超过滤Ii-line I 线IC packaging 集成电路封装IC reliability 集成电路可靠性 Iddq testing 静态漏电流测试 image resolution 图象清晰度 图象分解力implant v.灌输(注入) impurity 杂质 increment n.增力口,增量 initial adj.最初的,词首的,初始的 n.词首大写 字母 in situ measurements 在线测量 index of refraction 折射率 indium 铟 inductively coupled plasma (ICP )电感耦合等离子体 inert gas惰性气体infrared interference 红外干涉ingot 锭ink mark墨水标识in-line parametric test 在线参数测试input/output(I/O)pin 输入/ 输出管脚institute n. 学会,学院,协会vt.创立,开始,制定,开始(调查),提起(诉讼) insulator 绝缘体integrate vt.使成整体,使一体化,求…的积分v.结合integrated circuit(IC)集成电路integrated measurement tool 集成电路测量仪interval n.间隔,距离,幕间休息n.时间间隔interconnect 互连interconnect delay 互连连线延迟interface-trapped charge 界面陷阱电荷interferometer 干涉仪interlayer dielectric(ILD) 层间介质interstitial 间隙(原子) intrinsic silicon 本征硅invoke v.调用ion 离子ion analyzer 离子分析仪ion beam milling or ion beam etching(IBE) 离子铣或离子束刻蚀ion implantation 离子注入ion implantation damage 离子注入损伤ion implantation doping 离子注入掺杂ion implanter离子注入机ion projection lithography(IPL) 离子投影机PVD ionization 离子化ionized metal plasma PVD 离子化金属等离子IPA vapor dry 异丙醇气相干燥isolation regions 隔离区isotropic etch profile各向同性刻蚀刨面JJEFT结型场效应管junction(pn) PN 结junction depth 结深junction spiking 结尖刺KKelvin绝对温度killer defect致命缺陷kinetically controlled reaction 功能控制效应L laminar air flow 层状空气流,层流式lapping 拋光latchup闩锁效应lateral diffusion 横向扩散law of reflection 反射定律LDD轻掺杂漏Leadframe 引线框架leakage cuttent 漏电流len透镜lens compaction 透镜收缩light 光light intensity 光强light scattering 光散射lightly doped drain(LDD) 轻掺杂漏linear 线性linear accelerator 线性加速器linear stage 线宽阶段,线性区linewidth 线宽liquid 液体lithography 光刻loaded brush沾污的毛刷loaded effect 负载效应loadlock真空锁local interconnect(LI)局部互连local planarization 局部平坦化local oxidation of silicon(LOCOS)硅局部氧化隔离法logic逻辑lot批low-pressure chemical vapor deposition (LPCVD) 低压化学气相淀积LSI大规模集成电路Mmagnetic CZ( MCZ )磁性切克劳斯基晶体生长法magnetically enhanced RIE(MERIE)磁增强反应离子刻蚀magnetron sputtering 磁控溅射Magnification n. 扩大,放大倍率magnificent adj. 华丽的,高尚的,宏伟的majority carrier 多子make-up loop补偿循环mask掩膜版n.面具,掩饰,石膏面像vt.戴面具,掩饰,使模糊vi.化装,戴面具,掩饰,参加化装舞会mask-programmable gate array 掩膜可编程门阵歹Umass flow controller(MFC) 质量流量计mass spectrometer 质谱仪mass-transport limited reaction 质量传输限制效应mathematical adj.数学的,精确的mean free path(MFP) 平均自由程medium vacuum 中真空adj. megasonic cleaning 超声清洗melt熔融membrane contactor薄膜接触器,隔膜接触器membrane filter薄膜过滤器,隔膜过滤器merchant n. 商人,批发商,贸易商,店主商业的,商人的mercury arc lamp 汞灯MESFET用在砷化镓结型场效应晶体管中的金属栅metal contact 金属接触孔metal impurities 金属杂质metal stack复合金属,金属堆叠metallization 金属化metalorganic CVD金属有机化学气相淀积metrology 度量衡学microchip微芯片microdefect 微缺陷microlithography 微光刻microloading微负载,与刻蚀相关的深宽比micron微米microprocessor n.[计]微处理器microprocessor unit 微处理器microroughness 微粗糙度Miller indices 密勒指数minienvironment 微环境minimum geometry 最小尺寸minority carrier 少子mix and match 混合与匹配mobile ionic contaminants(MIC)可动离子沾污mobile oxide charge 可动氧化层电荷module n.模数,模块,登月舱,指令舱modify vt・更改,修改v.修改molecular beam epitaxy (MBE) 分子束外延molecular flow 分子流monitor wafer(test wafer) 陪片,测试片,样片monocrystal 单晶monolithic device 单片器件Moore's law 摩尔定律MOS 金属氧化物半导体MOSFET 金属氧化物半导体场效应管motor curreant endpoint 电机电流终点检测(法) MSI中规模集成电路Multiplier n.增加者,繁殖者,乘数,增效器,乘法器multichip module(MCM) 多芯片模式multilenel metallization 多重金属化Murphy's model 墨菲模型N nanometer(nm)纳米native oxide 自然氧化层n-channel MOSFET n 沟道MOSFET negatine resist 负性光刻胶negative n.否定,负数,底片adj.否定的,消极的,负的,阴性的vt.否定,拒绝(接受) negatine resist development 负性光刻胶显影neutral beam trap 中性束陷阱next-generation lithography 下一代光刻技术nitric acid(HNO3)硝酸nitrogen(N2)氮气nitrogen trifluoride(NF3) 三氟化氮nitrous oxide (N2O) 一氧化二氮、笑气nMOS n沟道MOS场效应晶体管noncritical layer 非关键层nonvolatile memory 非挥发性存储器normality 归一化notch 定位槽novolak苯酚甲醛聚树脂材料npn npn 型(三极管) n-type silicon n 型硅nuclear stopping 离子终止nucleation 成核现象,晶核形成nuclei coalescence 核合并numericalaperture(NA) 数值孑L径n-well n 阱Oobjective (显微镜的)物镜off-axis illumination(OAI) 偏轴式曝光,离轴式曝光ohmic contact 欧姆接触op amp 运算放大器optical interferometry endpoint 光学干涉法终点检测optical lithography 光学光刻optical microscope(light microscope) 光学显微镜optical proximity correction(OPC)光学临近修正optical pyrometer 光学高温计optics 光学organic compound 有机化合物氧化诱生层积 vi.划桨,戏 out-diffusion 反扩散 outgassing 除气作用 overdrive 过压力 overetch step 过刻蚀 overflow rinser 溢流清洗 overlay accuracy 套准精度 overlay budget 套准偏差 overlay registration 套刻对准 oxidation 氧化 oxidation-induced stacking faults(OISF) 缺陷,氧化诱生堆垛层错 oxide 氧化物、氧化层、氧化膜 oxidezer 氧化齐ij oxide-trapped charge 氧化层陷阱电荷 ozone(O3)臭氧Ppackage 封装管壳 pad conditioning 垫修整 pad oxide 垫氧化膜 paddle 悬臂 n.短桨,划桨,明轮翼 水,涉水 vt ・用桨划,搅,拌parabolic stage 拋物线阶段parallel-plate(planar)reactor 平板反应parallel testing 并行测试 parameter 参数parametric test 参数测试 parasitic 寄生parasitic capacitance 寄生电容 parasiticresistance 寄生电阻 parasitic transistor 寄生电阻器 partial pressure 分压 particledensity 颗粒密度 particle per wafer perpass(PWP)每步每片上的颗粒 数passivation 钝化 passivation layer 钝化层passive components 无源元件pattern sensitivity 图形灵敏性patterned etching 图形刻蚀pattern wafer 带图形硅片patterning 图形转移,图形成型,刻印pc board 印刷电路版完成任务 p-channel MOSFETp 沟道 MOSFET PCM 工艺控制监测 PEB 曝光后烘焙 PECVD 等离子体增强化学气相淀积PEL 允许曝露极限值pellicle 贴膜 pentavalent 五价元素 perform vt ・ 履行,执行,表演,演出 v. performing adj. 表演的,履行的 perimete array 周边阵列式(圭寸装) pH scale pH 值 phase-shift mask(PSM) 相移掩膜技术 phosphine(PH3) 磷化氢 phosphoric acid(H3PO4)磷酸 phosphorus(P)磷 phosphorus oxychloride(POCL3)三氯氧磷 phosphosilicate glass(PSG)磷硅玻璃 photoacid generator(PAG)光酸产生剂 photoacoustics 光声的 photoactive compound(PAC)感光化合物 photography n.摄影,摄影术 光刻photolithography 光刻(技术) photomask 光掩膜 photoresist 光刻胶 photoresist stripping 去胶、光刻胶去除 physical etch mechanism 物理刻蚀机理 physical vapor deposition(PVD)物理气相淀积 pigtail 引出头 pin grid array(PGA) 针栅阵列式(封装)pinhole 针孑 L piranha 3 号液 pitch 间距 planar 平面 planar capacitor 平面电容 planar process 平面工艺 planarization 平坦化 plasma 等离子体 n.[解]血浆,乳浆,[物]等离子体,plasma-induced damage 等离子体诱导损伤plasma potential distribution 等离子体势分布plastic dual in-line package(DIP) 双列直插塑料圭寸装plastic leaded chip carrier(PLCC) 塑料电极芯片载体plastic packaging 塑料圭寸装plug塞,填充vt.堵,塞,插上,插栓n塞子,插头, 插销pMOS(p-channel) p 沟道MOSpn junction diode pn 结型二极管pnp pnp型三极管point defect 点缺陷Poisson's model 泊松模型polarization 极化,偏振polarized light 极化光,偏振光polish拋光polish rate 拋光速率polished wafer edge(edge grind) 倒角polishing loop 磨拋循环polishing pad 拋光(衬)垫polycide 多晶硅化物光刻胶显影post-develop inspection 显影后检查post-exposure bake(PEB) 曝光后烘焙ppb 十亿分之几ppm 百万分之几ppt 万亿分之几preamorphization 预非晶化precursor 先驱物predeposition 预淀积premetaldielectric(PMD) 金属前介质preston equation Preston 方程primary orientation flat 主定位边print bias光刻涨缩量printed circuit boade(PCB) 印刷电路板probe探针probe card 探针卡prober探针台process 工艺process chamber工艺腔,工艺反应室process chemical 工艺化学process control monitor(PCM)工艺控制监测(图形) process latitude工艺水平,工艺能力process recipe 工艺菜单programmable arraylogic(PLA) 可编程阵列逻辑programmable logic device 可编程逻辑器件programmable read-only memory 可编程只读存储器projected range 投影射程prompt n.提示,付款期限vt・提示,鼓动,促使, (给演员)提白adj.敏捷的,迅速的,即时的adv.准时地n. DOS命令:改变DOS系统提示符的风格proportion n.比例,均衡,面积,部分vt.使成比例,使均衡,分摊proportional adj. 比例的,成比例的,相称的,均衡的proportional band 比例区,比例带,比例尺范围proximityaligner 接近式光刻机p-type silicon P 型硅puddle develop搅拌式显影pump speed 抽气速率punchthrough 穿通purge (冲气)清洗purge cycle (冲气抽气)清洗循环PVD物理气相淀积p-well P 阱pyrogenic steam 热流pyrogen 热原(质)pyrolytic 热解pyrophoric 自燃的Qquad flatpack(QFP)方型管壳封装quadrupole mass analyzer (QMA)四极质量分析仪quality measure 质量测量quarz石英quarz tube 石英管quarz wafer boat 石英舟queue time排队时间R radiation damage 辐射损伤radical 激发random access memory(RAM) 随机存储器range射程rapid thremal anneal(RTA) 快速热退火rapid thermal processor(RTP)快速热处理RCA clean RCA 清洗reaction rate limited 反应速率限制reactive ion etch(RIE)反应离子刻蚀reactivity 反应性reactor反应室,反应腔read-only memory(ROM)只读存储器recombination 复合redistribution 再分布reflection spectroscopy 反射光谱仪reflective notching 反射开槽reflow回流refraction 折身寸refractory metal 难融金属regeneration 再生regeneration套准精度relative index of refraction,n removal n. 移动,免职,切除repeat n.重复,反复vt・重做,复述,向他人转述,复制,使再现vi.重复,留有味道representation n. 表示法,表现,陈述,请求,扮演,画像,继承,代表reset v.重新安排residual gas analyzer(RGA)残余气体分析器resist光刻胶resist development 光刻胶显影resistance 电阻resistivity 电阻率resolution 分辨率reticle掩膜版retrograde well 倒掺杂阱reverse bias 反偏reverse osmosis(RO)反向渗透RF射频RF sputtering射频溅射rinse v嗽口,(用清水)刷,冲洗掉,漂净n.清洗嗽洗,漂洗,漂清,冲洗RO反向渗透Roots blower罗茨(机械增压)泵roughing pump 低真空泵,机械泵RTA快速热退火RTP快速热处理Ssatisfy vt.满足,使满意,说服,使相信v.满意,确保Scaling按比例缩小SCALPEL具有角度限制分散投影电子束光刻Scanner扫描仪scanning electron microscope(SEM)扫描电子显微镜scanning projection aligner 扫描投影光刻机schottky diode 肖特基二极管screen oxide layer 掩蔽氧化层scribe line 戈H 片道scribe line monitor(SLM)戈J片线监测scumming 底膜secondary electron 二次电子secondary electron flood 二次电子流secondary ion mass spectrometry(SIMS)二次离子质谱 (法) seed' s model SEE 模型selective etching 选择性刻蚀selective oxidation 选择性氧化selectivity 选择性semiconductor grade silicon 半导体极硅semiconductor 半导体sensitivity 灵敏度shallow trench isolation(STI)浅沟槽隔离sheet resistance,RS 方块电阻sheet resistivity,方块电阻率shot size胶(点)尺寸shrinking 缩小SI units 公制Sidewall spacer 侧墙Silane(siH4)硅烷Silicide硅化合物silicon 硅silicon dioxide(SIO2)二氧化硅silicon nitride(SI3N4)氮化硅silicon on sapphire 蓝宝石伤硅silicon on insulator(SOI)绝缘体上硅silicontetrachloride(SIC4) 碳化硅silicon tetrafluoride(SIF4)四氟化硅silicon tetrachloride(SICL4)四氯化硅single crystal silicon 单晶硅silylation硅烷化(作用)SIMOX 由注入氧隔离,一种SOI材料single crystal 单晶slip滑移slurry磨料SMIF标准机械接口Sodium hydroxide(NaOH)氢氧化钠soft bake 前烘solid固体solvent 溶齐ijSOS蓝宝石上硅Source 源source drain implants 源漏注入spacer n.取间隔的装置,逆电流器spatial coherence 空间相干spatial signature analysis 空间信号分析specialty gase 特种气体species 种类specific gravity 比重specific heat 比热speckle 斑点spectroscipic ellipsometry 椭圆偏振仪spin coating光刻胶旋涂spin dryer 旋转式甩干桶spin-on-dielectric(SOD)旋转介质法spin-on-glass(SOG)旋转玻璃法spray cleaning 喷雾清洗spray rinser喷雾清洗槽spreading resistance probe 扩散电阻探测sputter n・喷溅声,劈啪声,急语,咕哝vi.唾沫飞溅,发劈啪声,急忙地讲vt.喷出,飞溅出,气急败坏地说sputtering 溅射sputter etch溅射刻蚀sputtered aluminum 溅射铝sputtering yield 溅射产额SSI小规模集成电路stacking fault层积缺陷,堆垛层错standard clean 1(SC-1) 1 号清洗液standard clean 2(SC-2) 2 号清洗液standard mechanical interface(SMIF)机械标准接口standing wave 驻波static RAM静态存储器statistical process control ( SPC)统计过程控制step coverage台阶覆盖step height台阶高度step-and-repeat aligner 分步重复光刻机step-and-scan system步进扫描光刻机stepper步进光刻机stepping motor driver步进电机驱动器电路stepper步进光刻机stoichiometry化学计量(配比) staggle投射标准偏差stress应力striation 条纹strip vt・剥,剥去n. 条,带stripping 去胶structure 结构subatmospheric CVD亚大气压化学气相淀积submicron 亚微米sub-quarter micron 亚0・25微米substrate 衬底sublimation 升华substitutional atom 替位原子subtract v (〜from)减去,减subwaverlength lithography 亚波长光刻sulfur hexafluoride(SF6)六氟化硫sulfuric acid (H2SO4 )硫酸surface profiler 表面形貌surface tension 表面张力susceptor 基座Ttarget chamber 靶室target 靶temperature ramp rate 温度斜率temperature 温度TEOS正硅酸乙脂test algorithm 测试算法test coverage 测试覆盖test structure 测试结构test vector测试向量thermal budget 热预算thermal oxide 热氧化thermocompression bonding 热压键合thermocouple 热电偶thermogravimetric analysis (TGA) 热重量分析thermosonic bonding 热超声键合thin film 薄膜thin small outline package(TSOP)薄小型圭寸装川-V compound 三/五族化合物thorough adj.十分的,彻底的Threshold 域值threshold voitage 域值电压threshold voltage adjustment implant 调栅注入,域值调整注入throughput 产量tilt [tilt] v.(使)倾斜,(使)翘起,以言词或文字抨击time of flight SIMS(TOF -SIMS) 飞行时间二次离子质谱titanium silicide 钛硅化合物TLV极限域值top surface imaging 上表面图形topography 形貌torr 托toxic有毒track system(also track) 轨道系统transient enhanced diffusion(TED)瞬时增强扩散transistor 晶体管trench 槽trench capacitor 槽电容trichlorosilane(TCS or SiHCL3)三氯氢硅triode planar reactor三真空管平面反应室triple well 三阱trivalent 三价tungsten(W)钨tungsten stch back 钨反刻tungsten hexafluoride(WF6)六氟化钨tungstenplug钨塞,钨填充turbomolecular pump(turbo pump) 涡轮分子泵twin planes(twinning) 双平面twin-well(twin-tub)双阱UULSI甚大规模集成电路ultralow penetration air(ULPA)超低穿透空气ultrafiltration 超过滤ultrafine particle 超细颗粒ultrahigh purity 超高纯度ultrahigh vacuum 超高真空ultrashallow junction 超浅结ultrashallow junction 超声键合(压焊) ultraviolet 紫外线undercut 钻蚀uniformity 均匀性unit cell元包,晶胞unpatterned etching(spripping)无图形刻蚀(剥离) unpatterned wafer 无图形硅片unplug v.拔去(塞子,插头等),去掉…的障碍物UV紫外线VVacancy 空位vacuum 真空vacuum wand真空吸片棒,真空镊子van der pauw method 范德堡法vapor phase epotaxy(VPE)气相外延vapor pressure 气压vapor prime气相熏增粘剂,气相成底膜vaporization 气化variable n.[数]变数,可变物,变量adj. 可变的,不定的,。
半导体专业术语
1.acceptance testing (WAT: wafer acceptance testing)2.acceptor: 受主,如B,掺入Si中需要接受电子3.Acid:酸4.Active device:有源器件,如MOS FET(非线性,可以对信号放大)5.Align mark(key):对位标记6.Alloy:合金7.Aluminum:铝8.Ammonia:氨水9.Ammonium fluoride:NH4F10.Ammonium hydroxide:NH4OH11.Amorphous silicon:α-Si,非晶硅(不是多晶硅)12.Analog:模拟的13.Angstrom:A(1E-10m)埃14.Anisotropic:各向异性(如POLY ETCH)15.AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)16.ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)17.Argon(Ar)氩18.Arsenic(As)砷19.Arsenic trioxide(As2O3)三氧化二砷20.Arsine(AsH3)21.Asher:去胶机22.Aspect ration:形貌比(ETCH中的深度、宽度比)23.Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)24.Back end:后段(CONTACT以后、PCM测试前)25.Baseline:标准流程26.Benchmark:基准27.Bipolar:双极28.Boat:扩散用(石英)舟29.CD:(Critical Dimension)临界(关键)尺寸。
在工艺上通常指条宽,例如POLY CD 为多晶条宽。
30.Character window:特征窗口。
半导体照明术语及定义(芯片外延片)
外延术语1、外延生长(Epitaxy)2、量子阱(Quantum Well)3、能带工程(Energyband engineering)4、半导体发光二极管(Light Emitting Diode)5、PN结的击穿(PN junction Striking)6 Deposition)7、异质结构(Heterogeneous Structure)8、量子阱半导体激光器(Quantum Well Laser)9、超晶格(Super Lattice)EpitaxyGaP:磷化镓n-GaN:N型氮化镓p-GaN:P型氮化镓GaAs:砷化镓GaN:氮化镓AlInGaP:磷化铝镓铟(铝铟镓磷)AlGaAs:砷化铝镓(铝镓砷)InGaN 铟镓氮AlGaN 铝镓氮Wafer:晶片、外延片分析仪器1、XRD:X射线衍射仪,主peak GaN分析仪器2、PL:荧光光谱仪(或光致发光光谱仪),Peak强度越强,FWHM越窄,表示有较佳的QW。
3、Hall:霍尔测试仪,利用霍尔效应测量载流子(对n-GaN载流子为电子,对p-GaN,载流子为空穴)迁移率(mobility)以及Sheet Resistance,分析时同结构若有相同的掺杂(Doping),若是量测的迁移率mobility较小,可以推测此结构有较多的缺陷(Defects)。
4、SEM(Scanning Electron Microscopy):扫描式电子显微镜,测量刻蚀深度、及刻蚀截面状况。
5、Microscope:显微镜6、Differential Microscopy(Nikon-OPTI PHOT):晶相(金相)显微镜,用morphology)。
7、EDS EDS之仪器构造主要是由一个硅(锂)固态侦测器为核心,它是由硅单晶参杂锂原子而成的。
8、:金属有机化学汽相9、TEM10、SIMS:二次离子质谱仪,测量每层的掺杂状况,可测量P-GaN以及N-GaN的掺杂状况,以及掺杂载子的浓度以及扩散距离等测量。
半导体照明术语及定义(芯片外延片)
外延术语1、外延生长(Epitaxy)2、量子阱(Quantum Well)3、能带工程(Energyband engineering)4、半导体发光二极管(Light Emitting Diode)5、PN结的击穿(PN junction Striking)6 Deposition)7、异质结构(Heterogeneous Structure)8、量子阱半导体激光器(Quantum Well Laser)9、超晶格(Super Lattice)EpitaxyGaP:磷化镓n-GaN:N型氮化镓p-GaN:P型氮化镓GaAs:砷化镓GaN:氮化镓AlInGaP:磷化铝镓铟(铝铟镓磷)AlGaAs:砷化铝镓(铝镓砷)InGaN 铟镓氮AlGaN 铝镓氮Wafer:晶片、外延片分析仪器1、XRD:X射线衍射仪,主peak GaN分析仪器2、PL:荧光光谱仪(或光致发光光谱仪),Peak强度越强,FWHM越窄,表示有较佳的QW。
3、Hall:霍尔测试仪,利用霍尔效应测量载流子(对n-GaN载流子为电子,对p-GaN,载流子为空穴)迁移率(mobility)以及Sheet Resistance,分析时同结构若有相同的掺杂(Doping),若是量测的迁移率mobility较小,可以推测此结构有较多的缺陷(Defects)。
4、SEM(Scanning Electron Microscopy):扫描式电子显微镜,测量刻蚀深度、及刻蚀截面状况。
5、Microscope:显微镜6、Differential Microscopy(Nikon-OPTI PHOT):晶相(金相)显微镜,用morphology)。
7、EDS EDS之仪器构造主要是由一个硅(锂)固态侦测器为核心,它是由硅单晶参杂锂原子而成的。
8、:金属有机化学汽相9、TEM10、SIMS:二次离子质谱仪,测量每层的掺杂状况,可测量P-GaN以及N-GaN的掺杂状况,以及掺杂载子的浓度以及扩散距离等测量。
半导体专业术语.
1. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. Acid:酸4. Active device:有源器件,如MOS FET(非线性,可以对信号放大)5. Align mark(key):对位标记6. Alloy:合金7. Aluminum:铝8. Ammonia:氨水9. Ammonium fluoride:NH4F10. Ammonium hydroxide:NH4OH11. Amorphous silicon:α-Si,非晶硅(不是多晶硅)12. Analog:模拟的13. Angstrom:A(1E-10m)埃14. Anisotropic:各向异性(如POLY ETCH)15. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)16. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)17. Argon(Ar)氩18. Arsenic(As)砷19. Arsenic trioxide(As2O3)三氧化二砷20. Arsine(AsH3)21. Asher:去胶机22. Aspect ration:形貌比(ETCH中的深度、宽度比)23. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)24. Back end:后段(CONTACT以后、PCM测试前)25. Baseline:标准流程26. Benchmark:基准27. Bipolar:双极28. Boat:扩散用(石英)舟29. CD:(Critical Dimension)临界(关键)尺寸。
在工艺上通常指条宽,例如POLY CD 为多晶条宽。
精品TFT工艺流程、材料、设备、生产常用中英文标准名称2
Pre bake
预烘
PR Coating
光刻胶涂布
PR vacuum dry
光刻胶低压干燥
PR soft bake
前烘
Expose
曝光
Develop
显影
PR hard bake
坚膜
ADI
显影后自动光学检查
Micro/Macro Inspection
宏微观检查
ITO film etch
ITO膜湿刻
彩膜投料
CF Initial Clean
彩膜预备清洗
CF AOI
彩膜自动光学检查
CF Sort
彩膜分级
PI
配向膜
Cleanbefore PI
配向膜涂布前清洗
PI Print
配向膜涂布
Pre-cure
预固化
PI Inspection
配向膜检查
PI Thickness
Measurement
配向膜厚度测量
副单体
Port
端口
OIC(Operator Interface Client)
操作者界面
EDB
工程数据库
FGMS
成品管理系统
Dispatcher
派货
Create
建立
Start
下线
Scrap
报废
Un scrap
取消保废
Complete
完成
Ship
出货
Un ship
取消出货
Receive
收料
Track In
Mic/Mac Inspection
宏微观检查
Active film Dry etch & Ashing
半导体(双语教学)桂电复习资料
英文缩写MOS: metal- oxide semiconductor 金属氧化物半导体CVD:chemical vapor deposition 化学气相沉积ARC:anti-reflection coating 抗反射涂层MGS:metallurgical-grade silicon 冶金级硅IMD:inter metal dielectric 金属间介质CD:critical dimension 临界尺寸PECVD:plasma-enhanced CVD 等离子增强型CVDEGS:electronic-grade silicon 电子级硅EDA:electronic design automation 电子设计自动化PMD:pre-metal dielectric 金属前介质LED:light-emitting diode 发光二极管MFP:mean free path 平均自由行程SOG:spin-on glass 旋涂玻璃CMP:chemical mechanical polishing/planarization 化学机械抛光/研磨RIE:reactive ion etch 反应离子刻蚀MEMS:m icro-e lectro m echanical system 微机电系统PEB:post exposure bake 曝光后烘烤SOI:silicon-on-insulator 绝缘体上硅STI:shallow trench isolation 浅槽隔离RTA:rapid thermal annealing 快速热退火第四章1. Give two reasons why silicon is more commonly used than any other semiconductor material.(给出两个硅比其他半导体材料更常用的原因)①abundant, cheap (便宜丰富)②Silicon dioxide is very stable, strong dielectric, and it is easy to grow in thermal process.( 二氧化硅非常稳定而且有很强的介电性,在热处理过程中容易生长。
半导体专业词汇
1.acceptance testing (WAT: wafer acceptance testing)2.acceptor: 受主,如:8,掺入Si中需要接受电子3.ACCESS :一个EDA ( Engineering Data Analysis )系统4.Acid :酸5.Active device :有源器件,如MOS FET (非线性,能够对信号放大)6.Align mark(key):对位标志7.Alloy :合金8.Aluminum :铝9.Ammonia :氨水10.Ammonium fluoride : NH4F11.Ammonium hydroxide : NH4OH12.Amorphous silicon : a-Si,非晶硅(不是多晶硅)13.Analog :模拟的14.Angstrom : A ( 1E-10m )埃15.Anisotropic :各向异性(如POLY ETCH )16.AQL(Acceptance Quality Level):接受质量标准,在必定采样下,能够95%置信度经过质量标准(不一样于靠谱性,靠谱性要求一准时间后的无效率)17.ARC(Antireflective coating):抗反射层(用于METAL 等层的光刻)18.Antimony(Sb) 锑19.Argon(Ar) 氩20.Arsenic(As)砷21.Arsenic trioxide(As2O3) 三氧化二砷22.Arsine(AsH3)23.Asher :去胶机24.Aspect ration :容貌比(ETCH中的深度、宽度比)25.Autodoping :自夹杂(外延时SUB的浓度高,致使有杂质蒸发到环境中后,又回掺到外延层)26.Back end :后段(CONTACT 此后、PCM 测试前)27.Baseline :标准流程28.Benchmark :基准29.Bipolar :双极30.Boat :扩散用(石英)舟31.CD : (Critical Dimension )临界(重点)尺寸。
光刻工艺过程
光刻工艺过程一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀、检测等工序。
1、硅片清洗烘干(Cleaning and Pre-Baking)方法:湿法清洗+去离子水冲洗+脱水烘焙(热板150~2500C,1~2分钟,氮气保护)目的:a、除去表面的污染物(颗粒、有机物、工艺残余、可动离子);b、除去水蒸气,是基底表面由亲水性变为憎水性,增强表面的黏附性(对光刻胶或者是HMDS-〉六甲基二硅胺烷)。
2、涂底(Priming)方法:a、气相成底膜的热板涂底。
HMDS蒸气淀积,200~2500C,30秒钟;优点:涂底均匀、避免颗粒污染;b、旋转涂底。
缺点:颗粒污染、涂底不均匀、HMDS用量大。
目的:使表面具有疏水性,增强基底表面与光刻胶的黏附性。
3、旋转涂胶(Spin-on PR Coating)方法:a、静态涂胶(Static)。
硅片静止时,滴胶、加速旋转、甩胶、挥发溶剂(原光刻胶的溶剂约占65~85%,旋涂后约占10~20%);b、动态(Dynamic)。
低速旋转(500rpm_rotation per minute)、滴胶、加速旋转(3000rpm)、甩胶、挥发溶剂。
决定光刻胶涂胶厚度的关键参数:光刻胶的黏度(Viscosity),黏度越低,光刻胶的厚度越薄;旋转速度,速度越快,厚度越薄;影响光刻胶厚度均运性的参数:旋转加速度,加速越快越均匀;与旋转加速的时间点有关。
一般旋涂光刻胶的厚度与曝光的光源波长有关(因为不同级别的曝光波长对应不同的光刻胶种类和分辨率):I-line最厚,约0.7~3μm;KrF的厚度约0.4~0.9μm;ArF的厚度约0.2~0.5μm。
4、软烘(Soft Baking)方法:真空热板,85~1200C,30~60秒;目的:除去溶剂(4~7%);增强黏附性;释放光刻胶膜内的应力;防止光刻胶玷污设备;边缘光刻胶的去除(EBR,Edge Bead Removal)。
半导体实用英日汉总结
半导体实用英语词汇半导体实用英语词汇1. acceptance testing (WAT: wafer acceptance testing-晶圆验收测试)ウェーハの受け入れテスト2. acceptor: 受主,接受器(无线)如B,掺入Si中需要接受电子アクセプター;受容体3. ACCESS(アクセス):一个EDA(Engineering Data Analysis-工程数据分析-エンジニアリングデータ解析)系统4. Acid:酸(さん)5. Active device(アクティブデバイス):有源器件(Active:积极,主动),如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记合わせマーク7. Alloy:合金(ごうきん)8. Aluminum:铝(アルミニウム)9. Ammonia:氨水(アンモニア)10. Ammonium fluoride:NH4F(氟化铵)弗化アンモニウム11. Ammonium hydroxide:NH4OH(氢氧化铵)水酸化アンモニウム12. Amorphous silicon(アモルファスシリコン):α-Si,非晶硅(不是多晶硅)13. Analog(アナログ):模拟的14. Angstrom(オングストローム):A(1E-10m)埃15. Anisotropic(異方性):各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)受け入れ品質のレベル17. ARC(Antireflective coating反射防止膜):抗反射层(用于METAL(金属)等层的光刻)18. Antimony(Sb)锑アンチモン19. Argon(Ar)氩アルゴン20. Arsenic(As)砷砒素(ひそ)21. Arsenic trioxide(As2O3)三氧化二砷(三酸化砒素)22. Arsine(AsH3)砷化氢アルシン23. Asher:去胶机アッシャー24. Aspect ratio(アスペクト比)n:形貌比(ETCH中的深度、宽度比)25. Auto doping(オートドーピング):自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end(バックエンド):后段(CONTACT(接触)以后、PCM测试前)27. Baseline(ベースライン):标准流程28. Benchmark(ベンチマーク):基准29. Bipolar(バイポーラ):双极30. Boat(ボート):扩散用(石英)舟31. CD:(Critical Dimension---限界寸法)临界(关键)尺寸。
LOGIC FLOW 问题参考答案
LOGIC FLOW 问题参考答案1. ZERO OXIDE的作用是什么?第一是为后序的ZERO PHOTO时做PR的隔离,防止PR直接与Si接触,造成污染。
PR中所含的有机物很难清洗。
第二,WAFTER MARK是用激光来打的,在Si表面引致的融渣会落在OXIDE上,不会对衬底造成损伤。
第三是通过高温过程改变Si表面清洁度。
2. ZERO PHOTO的目的是什么?W AFTER MARK是否用光照?ZERO PHOTO是为了在Si上刻出精对准的图形,ASML stepper system requires a zero mark for global alignment purpose。
WAFTER MARK不用光照,用LASER刻出WAFTER的刻号。
3. STI PAD OXIDE的作用是什么?厚薄会有什么影响?用什么方法生长?NITRIDE的应力很大,直接淀积到SI上会在SI表面造成位错,所以需要一层OXIDE作为缓冲层,同时也作为NITRIDE ETCH时的STOP LA YER。
如果太薄,会托不住NITRIDE,对衬底造成损伤,太厚的话在后序生长线氧时易形成鸟嘴。
PAD OXIDE是用湿氧的方法生长的。
4. STI NITRIDE的作用是什么?为什么要精确它的厚度?NITRIDE是作为STI CMP的STOP LAYER。
NITRIDE的厚度要精确控制,一方面与PAD OXIDE,SiON,ARC的厚度相匹配,很好的控制exposure时的折射率,厚度为1625A时的CD control最好;另一方面与BIRD’S BEAK的形成有关。
如果NITRIDE太厚,BIRD’S BEAK会减小,但是引入Si中的缺陷增加;如果加厚PAD OXIDE,可减小缺陷,但BIRD’S BEAK会增加。
5. 在STI ETCH中SION的作用是什么?在整个0.18um SRAM FLOW中SION厚度有几个?STI ETCH之前DEP了一层SION,目的是为了降低NITRIDE的反射率,作为ARC。
半导体专业术语
1.a c c e p t a n c e t e s t i n g(W A T:w a f e r a c c e p t a n c e t e s t i n g)2.acceptor:受主,如B,掺入Si中需要接受电子3.Acid:酸4.Activedevice:有源器件,如MOSFET(非线性,可以对信号放大)5.Alignmark(key):对位标记6.Alloy:合金7.Aluminum:铝8.Ammonia:氨水9.Ammoniumfluoride:NH4F10.Ammoniumhydroxide:NH4OH11.Amorphoussilicon:α-Si,非晶硅(不是多晶硅)12.Analog:模拟的13.Angstrom:A(1E-10m)埃14.Anisotropic:各向异性(如POLYETCH)15.AQL(AcceptanceQualityLevel):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)16.ARC(Antireflectivecoating):抗反射层(用于METAL等层的光刻)17.Argon(Ar)氩18.Arsenic(As)砷19.Arsenictrioxide(As2O3)三氧化二砷20.Arsine(AsH3)21.Asher:去胶机22.Aspectration:形貌比(ETCH中的深度、宽度比)23.Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)24.Backend:后段(CONTACT以后、PCM测试前)25.Baseline:标准流程26.Benchmark:基准27.Bipolar:双极28.Boat:扩散用(石英)舟29.CD:(CriticalDimension)临界(关键)尺寸。
在工艺上通常指条宽,例如POLYCD为多晶条宽。
课程方案参考报告——提高光学光刻分辨率方法研究报告
目录微电子工艺课程设计提供光学光刻分辨率的方法研究摘要摘要:本文首先介绍了影响分辨的主要因素,然后针对这些因素提出了提高分辨率的方法,结合影响分辨率的因素和先进的光刻技术提出一个优化的光刻工艺组合方案。
该方案考虑到了大部分影响分辨率的因素,并且进行了众多参数的综合优化。
在此基础上,总结了该方案的优点和不足,提出了进一步优化努力的方向。
关键词关键词:分辨率、波长、数值孔径、工艺因素,综合优化引言光学光刻是IC制造的基础工艺之一,当前几乎所有的IC芯片都由光学光刻制造。
光刻的原理是将对光敏感的光刻胶旋涂到硅片上,在表面形成一层薄膜;随后使用光刻版,版上包含着所要制作的特定层的图形信息,光源拖过光刻版照射到光刻胶上使得光刻胶选择性地曝光;接着对光刻胶显影,于是就完成了从版图上到硅片的图形转移。
对光刻技术分辨率、曝光视场、图形放置精度、产率和缺陷密度等方面的要求使得光刻成为主流微电子制造过程中最复杂、昂贵和关键的工艺。
分辨率是指能精确转移到衬底表面光刻胶上的最小特征尺寸,是光学光刻工艺中重要的系统指标,提高光学光刻的分辨率对提高光学光刻的质量至关重要。
正文一、提高分辨率的方法1.影响图形光刻分辨率的主要因素影响光刻分辨率的因数很多,有分辨率,知,影响分辨率的主要因素有、、。
要想提高分辨率,应该主要从这三个参数着手。
由的表达式知,我们可以通过提高,减小,减小来提高分辨率。
但是由分辨率的表达式和焦深的表达式对比知,任何分辨率的提高总是伴随着聚焦深度的下降。
所以为了提高光刻工艺的整体效果,有时我们需要在分辨率和聚焦深度两方面进行折中处理。
为了能较深入的分析影响分辨率的因素,下面我将从光刻的工艺过程出发,将涉及到光刻分辨率的主要因素一一进行分析。
1.1掩膜<Mask)1.1.1掩膜版掩膜版的作用是有选择地遮挡照射到衬底表面的光/电子束/X 射线,以便在衬底光刻胶薄膜上形成需要转移的图形。
掩膜版的材料、形状、热膨胀系数等方面的性质不一样,对分辨率的影响也不一样。
半导体专业英语词汇
半导体专业词汇1. acceptance testing (WA T: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POL Y ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。
TFT工艺流程中中英文标准名称
第1页共22页一.TFT 工艺流程中英文标准名称Array Process Flow阵列段工艺流程Unpacking 拆包装Initial clean 预备清洗Input 投料Particle count 尘埃粒子测试Clean before depo成膜前清洗Gate (Mo/Al alloy ) Film depo 栅电极成膜RS meter 电阻测量Macro Inspection 宏观检查Clean before PR 涂胶前清洗Pre bake 预烘PR Coating 光刻胶涂布PR vacuum dry(VCD)光刻胶低压干燥PR soft bake 前烘Expose曝光Titler Expose/Edge Expose打标/边缘曝光Develop 显影PR hard bake 坚膜ADI显影后自动光学检查Mic/Mac Inspection 宏微观检查CD after develop 显影后关键尺寸检查Total pitch 长寸测量Gate Wet etch 栅电极湿刻Contact angle 接触角测量PR strip 光刻胶剥离CD after etch 刻蚀后关键尺寸测量AEI刻蚀后自动光学检查Micro/Macro Inspection 宏微观检查Gate 栅电极层Laser Repair 激光修补Clean before depo 成膜前清洗Active film depo Active 成膜AOI自动光学检查Macro Inspection 宏观检查Thickness Measurement 厚度测量Clean before PR 涂胶前清洗Active 层Pre bake预烘第2页共22页PR Coating 光刻胶涂布PR vacuum dry 光刻胶低压干燥PR soft bake 前烘Expose 曝光Develop 显影PR hard bake 坚膜ADI显影后自动光学检查Mic/Mac Inspection宏微观检查Active film Dry etch & Ashing Active 膜干刻与灰化Thickness Measurement 厚度测量PR strip 光刻胶剥离AEI刻蚀后自动光学检查Mic/Macro Inspection 宏微观检查Clean before depo 成膜前清洗S/D Mo film depo 源/漏电极成膜RS meter 电阻测量MACRO Inspection 宏观检查Clean before PR 涂胶前清洗Pre bake 预烘PR Coating 光刻胶涂布PR vacuum dry 光刻胶低压干燥PR soft bake 前烘Expose 曝光Edge expose 边缘曝光Develop 显影PR hard bake 坚膜ADI显影后自动光学检查MIC/MAC Inspection 宏微观检查CD after develop 显影后关键尺寸检查Hard bake by oven 烘炉坚膜S/D Mo Wet etch 源电极/漏电极湿刻n+ a-Si Dry etch n+高掺杂膜干刻PR strip光刻胶剥离Thickness Measurement 厚度测量CD after etch 刻蚀后关键尺寸测量AEI刻蚀后自动光学检查Micro/Macro Inspection 宏微观检查Clean before O/S test 短路/开路测试前清洗S/D源/漏电极层Open/Short Test短路/开路测试第3页共22页Clean before depo 成膜前清洗Pass'n film depo 保护膜成膜AOI自动光学检查MACRO Inspection 宏观检查Thickness Measurement 厚度测量Clean before PR 涂胶前清洗Pre bake 预烘PR Coating 光刻胶涂布PR vacuum dry 光刻胶低压干燥PR soft bake前烘Expose 曝光Edge expose 边缘曝光Develop 显影PR hard bake 坚膜ADI显影后自动光学检查Micro/Macro Inspection 宏微观检查SinX Dry etch & ASHING 氮化硅干刻与灰化PR strip 光刻胶剥离AEI刻蚀后自动光学检查Passivation 保护层Micro/Macro Inspection 宏微观检查Clean before PR 成膜前清洗a-ITO film depo ITO 成膜RS meter 电阻测试Anneal煺火Macro Inspection 宏观检查Clean before PR 涂胶前清洗Pre bake 预烘PR Coating 光刻胶涂布PR vacuum dry 光刻胶低压干燥PR soft bake 前烘Expose 曝光Develop 显影PR hard bake 坚膜ADI显影后自动光学检查Micro/Macro Inspection 宏微观检查ITO film etch ITO 膜湿刻PR strip 光刻胶剥离AEI刻蚀后自动光学检查ITO ITO 层Micro/Macro Inspection宏微观检查第4页共22页Anneal煺火Array test 阵列测试Array repair 阵列修补TEG test TEG 测试Final E/T 最终电测Sort分级Cell Process flow制盒段工艺流程CF Initial Clean彩膜预备清洗CF AOI 彩膜自动光学检查CF Input 彩膜投料CF Sort 彩膜分级Clean before PI配向膜涂布前清洗PI Print 配向膜涂布Pre-cure 预固化PI Inspection 配向膜检查PI Thickness Measurement 配向膜厚度测量Main-cure 固化PI 配向膜PI rework 配向膜返工CF&TFT MatchingCF&TFT 匹配Rubbing 配向摩擦Rubbing Inspection 摩擦检查Loader & Un loader上料机/下料机Buffer 缓冲器CST Buffer 工装栏缓冲器Rotation/Cooling Unit 旋转/冷却单元Turn Align Unit 旋转/对位单元Turn Over Unit 翻转单元After Rubbing Cleaner摩擦后清洗Spacer Spray 衬垫球散布Spacer Counter 衬垫球计数Spacer rework 衬垫球返工Spacer Cure 衬垫球附着固化Short Dispense 导电胶涂布Sealant Dispense 边框胶涂布Seal Inspection 边框胶检查LC Dispense 液晶滴下Vacuum Assembly真空贴合UV Cure 紫外线固化Mis-alignment check错位检查ODFSeal Oven边框胶热固化第5页共22页Eye Inspection 目视检查Cell gap Measurement 盒厚测试1/4(1/6)Sheet Cutting,1/4(1/6)切割Stick Cutting,切条Cell Cutting 切粒Cutting 切割Visual Test Visual 测试Edge Grind 磨边Dipping clean 浸泡式清洗Clean before Pol贴片前清洗Pol Attach 贴片Pol Inspection 贴片检查ECPPol rework贴片返工Auto Clave Auto Clave 消泡LaserLaser Trimmer 激光切线Gross Test 终检Repair 修补Bin sorter 分级OQC Test 出货检查Test 测试Store货栈第6页共22页Module Process Flow模块段工艺流程Pad cleaning 端子清洗IC Bonding IC 邦定Microscope InspectionAOI 镜检自动光学检查COGAdhesive test粘接力测试ACF Attaching ACF 粘贴FOG Bonding FOG 邦定Microscope Inspection 镜检Peeling strength test拉力测试ET test1电测1IC or FPC Repair 修补UV glue sealing 封胶FPC reinforcement 补强FOGUV glue curing UV 胶固化ET test2电测2anti-ultraviolet tape attaching遮光胶带粘贴protected tape attaching 保护胶带粘贴Backlight assembly 背光源组装Backlight soldering 背光源焊接Touch panel assembly触摸屏组装Final ET test最终电测Rework 返工Aging 老化QC test QC 检验Code printing 喷码Packing 包装OQC Test出货检验Assembly 组装Finished good shipment合格品出货CIM name集成控制系统名称CIM System计算机集成制造系统Manufacturing Execution System(MES)制造执行系统(MES )Preventive Maintenance System(PMS)设备预防保养系统(PMS )Statistical Process Control(SPC)统计过程管理(SPC )Equipment Automation Program(EAP)设备自动化(EAP )Report报表CIM计算机集成制造系统Engineering Data Analysis(EDA)工程数据分析(EDA )第7页共22页Finished Good Management System(FGMS)成品管理系统(FGMS)Product产品Glass玻璃基板Panel面板Process Flow工艺流程Operation操作EDC工程数据收集Equipment设备Chamber腔体Unit单体Sub Unit副单体Port端口OIC (Operator Interface Client)操作者界面EDB工程数据库FGMS成品管理系统Dispatcher派货Create建立Start下线Scrap报废Un scrap取消保废Complete完成Ship出货Un ship取消出货Receive收料Track In入账Track Out出账Wait等待Hold滞留Release释放Rework返工Vehicle搬运车Robot机械手AGV (Automatic Guided Vehicle)自动搬运车MGV (Manual Guided Vehicle)人工搬运车Clean lifter净化电梯LIM (Linear Induction Motor) Carrier线性感应马达传送载具OHS (Overhead Handling System)天车搬送系统Stocker (clean depot)工装篮存放架Battery电池第8页共22页Bay作业区Inter-bay作业区和作业区之间Intra-bay作业区之内Bumper减震缓冲器Charger充电器Controller控制器Conveyor传送带Crane吊车(在Stocker内)FFU (Fan Filter Unit)风扇过滤器Host主机I/O (Input / Output)输入/输出IR (Infra-Red)红外线IRIF(Infra-Red Interface)红外接口Load上料Unload下料Magnetic tape磁条(AGV路径所使用的磁条) Retrieve检索RTM (Rotary Transfer Machine)旋转传送机构SCARA arm AGV传送臂Reset重新设定Transportation传输Recipe工艺参数的组合Stock out将工装篮取出货栈Request要求,请求Transfer传送,运送Instruction命令,指令Select选择Cancel取消Operation作业,操作Support支援,支持Process工艺Start开始Batch批量Lot 批(指生产线的在制品或产品控制单位)ID (Identity)识别码(如Lot ID or Chip ID) Sheet片(Array区玻璃基版计数单位) Inspection检验Defect缺陷第9页共22页Hold滞留Release释放Equipment设备(简称为EQP)Tool工具,机台WIP (Work In Process)在制品(工艺在制品)Maintenance维修保养Cassette 工装篮(阵列段),卡匣(制盒段及模块段)Empty空的Reserve预备Report报告Rework返工Log on登录,入系统Log off注销登录,离开系统Note批注Unpacking line拆包线Un packer拆包机Glass conveyor玻璃传送带Initial Cleaner预清洗Un loader下料机Cassette Manual Guide Vehicle (MGV)工装篮人工搬运车Array Cassette Array工装篮ODF Cassette ODF工装篮Automated Material Handling System(AMHS)自动物料搬运系统Clean Stocker(STK)洁净工装篮存放架(STK)Overhead Handling System (OHS)天车搬送系统(OHS)Material Control System (MCS)物料控制系统(MCS)Clean Robot洁净机械手Loader/Un loader上/下料机Sorter分片机第10页共22页二.TFT 材料中英文标准名称类型Type Materials name材料名称Glass 玻璃基板Color filter 彩色滤光膜Bare glass 白玻璃基板玻璃GlassLCD Panel 液晶面板AlNd/Al Target 铝钕/铝靶材MoNb/Mo Target 钼铌/钼靶材靶材TargetITO Target ITO 靶材Al Etchant Al 蚀刻液ITO EtchantITO 蚀刻液HNO3硝酸CH3COOH 乙酸Developer 显影液Stripper 剥离液MEA 单乙醇胺Photo Resist 光刻胶Organic Photo Resist有机膜用光刻胶Thinner 稀释剂NMP N-甲基-2-吡咯烷酮HF 氢氟酸Acetone 丙酮IPA 异丙醇化学液体ChemicalEthanol 酒精Photo Mask 光罩APR Plate APR 版Rubbing Cloth 摩擦绒布Adhesive Tape双面胶布Probe frame for array tester阵列电测架E/T Frame 电测用探测架Cell ET Probe Unit 屏电测用探测头Open/Short tester and prober开路、短路电测用探测头Teflon Tape 特氟龙带Silicon rubber 硅胶皮辅助器材Sub materialWiper Tape无尘卷布高纯气体High purity gasNF3三氟化氮第11页共22页SiH4硅烷SF6六氟化硫NH3氨气Cl2氯气HCL 氯化氢CHF3三氟甲烷PH3磷烷CF4四氟甲烷N2氮气O2氧气H2氢气Ar 氩气He氦气液晶LC LC 液晶材料Polarizer 偏光片胶膜Film Diffuser 散光膜涂料Dope Paint PI 聚酰亚胺Sealant 边框胶UV glue UV 胶ACF各向异性导电膜Conductive Spacer 导电衬垫球Spacer used in Sealant 边框胶内衬垫球Spacer used in Cell (White )盒内衬垫球(白)树脂ResinSpacer used in Cell (Black )盒内衬垫球(黑)Back Light Unit背光源Integrated circuit/Driver IC集成电路/驱动IC PCB 印刷电路板FPC 柔性印刷线路板Module Frame 模块外框Touch Panel/Touch film触摸屏/触摸膜元器件PartsRCL阻容器件FLUX 助焊剂焊接材料Soldering materialSOLDER CREAM焊锡/焊接剂第12页共22页三.TFT 设备中英文标准名称English name中文名称Exposer曝光机Coater Developer陈列黄光制程清洗机-涂布机-显影机long size measuring and critical dimension measuring multiple equipment测长仪和线宽测量仪 Titler& Edge Exposer打标机和边缘曝光复合机Edge Exposer边缘曝光机AFM 原子力显微镜FIB 聚焦离子束系统FE-SEM 场发射扫描电子显微镜UV Meter UV 照度计EXCIMER UV 准分子紫外清洗机Ionizer Bar 除静电棒Fire Extinguisher 灭火器PHOTO 曝光Photo Ionizer软X 射线静电消除器PVD(Multi-chamber type sputtering system)磁控溅射成膜机Particle counter 尘埃粒子测量仪Ultrasonic Cleaner超声波清洗机Stripper脱膜机Contact Angle Analyzer 接触角测量仪RS Meter(4point Probe)四探针仪Cassette Cleaner 工装篮清洗机Initial Cleaner 预备清洗机Pre CVD cleaner 成膜前清洗机HF Cleaner before S/D S/D 成膜前带HF 清洗机Liquid Particle Counter液体内尘埃粒子测量仪CCSS中央化学品供应系统Anneal Oven 退火炉Hard Bake Oven 后烘炉Film Tester薄膜附着力测试仪 Etchant Concentration ManagementSystem 刻蚀液浓度管理系统DMS 显影液浓度管理系统DRS 显影液溶剂回收系统RMS 剥离液浓度管理系统Wet Etcher湿刻机4.5G-Macro Review System 4.5G 宏观检查机4.5G-Mic/Mac Review System 4.5G 宏观、微观检查机PVD&WET 溅射&湿式RGA(Residual Gas Analyzer)残余气体分析仪Hot N2 Transfer System热N2供应系统PECVD等离子增强化学气相淀积设备Special Gas Supply & Monitor System特种气体供应和监视管理系统PECVD 化学气相沉积Temperature Recorder温度记录仪第13页共22页Gas Scrubber for PECVD PECVD 用尾气处理装置Full Automatic Spectroscopic Ellipsometer for Flat Panel Display平板显示用全自动椭圆偏振光谱仪Clean Crane洁净室起重机设备Spectroscope Reflectometer平板显示用全自动分光反射光谱仪He Leak Detector 氦气检漏仪Dry Pump for CVD 化学气相沉积设备用真空泵Dry Pump for PVD 物理气相沉积设备用真空泵EPD for Dry Etching 干刻终点侦测器Dry pump for Dry Etching 干刻机设备用真空泵Dry Etching/Ashing System干刻灰化一体机Profiler System 段差量测机Chiller for Dry Etching干刻机辅助设备冷却器DRY 干刻Local Scrubber System for Dry Etching干刻用废气处理设备Rubbing Line 摩擦线Before PI cleaner PI 前清洗机Cassette BF 工装篮缓冲器PI Printer PI 涂布机Pre-Cure 预固化炉Main-Cure 主固化炉Loader/Un loader 上下料机APR cleaner APR 板清洗机Rubbing Inspection 摩擦检查机After Rubbing Cleaner摩擦后清洗机ODF System ODF 相关设备 Seal Dispenser 边框胶涂布机Short Dispenser导电胶涂布机Seal De-bubbling machine边框胶脱泡机 UV cure紫外线固化机Spacer Cure Oven 衬垫球固化炉Cooling /Rotation Unit冷却/旋转装置Seal Cure Oven 边框胶固化炉LC dispenser 液晶滴下机LC de-bubbling 液晶脱泡机Vacuum Assembly 真空贴合系统Mis-align Checker 对位检查机 Visual Inspection目视检查机ODF Inline transfer and line controlblockODF 联线传输和软件控制系统Loader(3port)上料机Un loader(3port)下料机Cassette Buffer 卡匣缓冲器Turn Align Buffer 旋转对位缓冲器Pairing/NG Buffer 配对/不良制品缓冲器Spacer NG Buffer 衬垫球散布不良制品缓冲器Turn Over Align 翻转对位器CELL 制盒UV Mask stockUV Mask 存储柜第14页共22页Buffer after UV cure 紫外线固化后缓冲器Cooling Buffer 冷却缓冲器Spacer US Cleaner 衬垫球超声波清洗机Spacer Remover(USC)衬垫球聚团去除装置(超声波清洗机)US Cleaner after sealant dispensing 边框胶涂布后超声波清洗机US Cleaner after short dispensing点胶后超声波清洗机Master PLC 主PLC CIM PCCIM PC Seal Inspection 边框涂布检查机Cell Gap Inspection 盒厚检查机干式散布机Dry Spacer Spray & Spacer count衬垫球计数器Auto Clave偏光片贴附后加压消泡机Edge Grinder液晶面板磨边机LCD Panel cleaning and Polarizer attachsystem液晶面板清洗和偏光板贴附机系统Panel Cleaner 面板清洗机(用于液晶面板清洗和偏光板贴附机系统)Polarizer Attach Machine 偏光板贴附机(用于液晶面板清洗和偏光板贴附机系统)LCD Panel Polarizer Rework Machine液晶面板偏光片返工机Cell Cassette(3"-10.4")3"-10.4"Cell 卡匣Single head scriber 单刀头切割机LCD glass in-line Scriber液晶面板在线切割机Multi head Scriber 多刀头切割机COG bonding machine自动COG 邦定机COG Semi-automatic Bonding Machine半自动COG 邦定机Aging test system老化测试系统Signal generator for LCD InspectionLCD 检查用信号发生器Auto Optical Inspection 自动光学检测仪Air Particle Counter 空气尘埃粒子测量仪Backlight tester背光源色度亮度测量仪Projector 投影仪Microscope 显微镜Ionizer blower离子风扇Surface resistance test kit (点检)表面电阻测量仪Charged Plate Monitor平面带电测试仪MODULE 模块Static electricity voltage testing静电电压测量仪ADI-AOI 显影后检测AEI-AOI 刻蚀后检测CF-AOI 彩色滤光片检测Array Tester阵列电测机Open/Short tester and prober开路/短路检测和探针系统ARRAY O/S probe 开路/短路探针系统TEG Prober TEG 探针系统TEST 测试TEG TesterTEG 测试系统第15页共22页Probe Unit for TEG TEG测试用探针系统Array repair激光修补系统Array Probe frame阵列电测架Visual test probe unit目测用探针系统ET Probe unit2英寸电测用探针系统Microscope显微镜(Panel)Microscope and laser system带激光的显微镜Barcode Reader条形码阅读器Fixed 2D Code Reader 2维读码器系统(固定类型)Portable 2D Code Reader 2维读码器系统(可移动类型)PI+Macro inspection system PI+Macro检测系统Cell Gap Measure System盒厚测量系统Laser Trimmer激光切线系统Cell Laser Repair液晶盒激光修补系统Oscilloscope示波器Manual probe手动探针系统Precision Parameter Analyzer TFT参数分析仪Product Optical Quality MeasurementSystem产品光学质量检测系统Optical Parameters Measurement System光学特性量测设备Circuit drawing//Orcad电路图编辑器P-spice Analog Simulation P-spice电路仿真器PCB Artwork PCB线路图编辑器3D Mechanical Design Tool3D机械设计工具2D structure Design Tool2D结构设计工具Pattern Generator测试图形发生器Resistance Attenuator电阻衰减器illuminance Colorimeter亮度色度计Spectrophotometer亮度色度光谱仪Color Analyzer色彩分析仪R,L,C Measurement R,L,C测试仪ESD Gun(EMI/EMS)table静电枪台UV TEST chamber紫外线测试箱Seal dispenser边框胶涂布机three axis Measurement Stage三轴量测平台Pre-tilt angle & cell gap measurementsystem倾斜角盒厚量测仪LC resistivity meter液晶电阻率测量仪Oscilloscope(Analog)模拟示波器Thermo-hygrostat恒温恒湿柜Thermal Shock Chamber冷热冲击舱ESD Gun(EMI/EMS)静电枪Liquid crystal injection machine灌晶机Plasma cleaning machine等离子清洗机Simulation software of LCD Opticalparameters LCD光学特性模拟软件R&D研发Layout editor for mask版图设计软件第16页共22页CIM System-.Manufacturing Execution System(MES)-.Preventive Maintenance System(PMS)-.Statistical Process Control(SPC)-.Equipment Automation Program(EAP)-.Report System-.Engineering Data Analysis(EDA)-.Finished Goods Management System(FGMS)-.Engineering Data Base(EDB)计算机集成制造系统-.制造执行系统-.机台预防保养系统-.统计制程管制-.设备自动化-.报表系统-.工程数据分析-.产成品管理系统-.工程数据库Operator Interface Client(OIC) 操作者界面FAB Monitoring Client(FMC)工厂监控系统Dispatcher(DSP)任务分配系统Fault Detection and Classification(FDC)缺陷检查和分类系统Alarm Management System(AMS)机台报警系统Real Time Analysis/MonitoringSystem(RTAMS)缺陷管理的实时监控/分析系统CIM 集成控制System Management System(SMS)系统管理系统Unpacking line -.Un packer-.Glass conveyor -.Initial Cleaner -.Un loader拆包线-.拆包机-.玻璃传送带-.预清洗-.下料机Cassette Manual Guide Vehicle (MGV)工装篮人工引导车Array Cassette Array 工装篮ODF CassetteODF 工装篮Automated Material Handling System(AMHS)-.Clean Stocker(STK)-.Overhead Handling System (OHS)-.Material Control System (MCS)自动物料搬运系统-.洁净堆料机(STK )-.天车搬送系统(OHS )-.物料控制系统(MCS )Clean Robot 洁净机械手Loader/Un loader上下料机AMHS 物料搬运Sorter 分片机MES DB Server MES 数据服务器MES APP Server MES 应用服务器EDA/EDB Server EDA/EDB 服务器FDC Server FDC 服务器PMS Server PMS 服务器YMS Server YMS 服务器File Server文件服务器Centralized Backup/Recovery Server中央备份服务器Development Server 开发服务器Testing Server 测试服务器Storage/Disk Array 磁盘阵列SAN Switch/Storage LinkSAN 交换机Tape Library 磁带库Switch 网络交换机Router 网络路由器CIM Server 集成控制系统服务器Firewall网路防火墙第17页共22页Message Bus 信息总线TIBCO Rendezvous TIBCO 消息集聚器Data Protector 数据保护者Exhaust Fan(FRP)排气风机(玻璃钢离心式)UPW system纯水超纯水设备Auto control system自动控制系统CDA system压缩空气系统Bulk gas supply system大宗气体供应系统Exhaust Fan 排气风机Fan Filter Unit送风过滤系统Gas and liquid leak detection system气体和液体测漏系统Diesel oil generator柴油发电机系统VOC scrubber 有机物蒸气洗净机Plant Vacuum pump 工厂真空系统cleaning vacuum pump 清洁真空系统waste water monitor system废水检测系统vesda system 空气烟感探测分析系统chiller 冷水机组cooling tower 冷却塔HVAC PUMP空调水泵heat energy supply system集中供热系统LPG system LPG 站系统PCW system PCW 系统35KV transformer 35KV 变压器Telephone system 电话系统CATV system有线电视系统broadcasting system 广播系统fire alarm system火警系统WWT废水处理系统35KV switch device35KV 开关装置Mv switchgears, cast coil transformers,unit substations, power scada中压变配电系统Security & CCTV system 安保和闭路电视系统Facility 工程Fire fighting system 消防系统Single head scriber 单刀头切割机Contact Angle 接触角测量仪Eyewash 洗眼台Analysis room 分析室Wet station带通风橱的中央实验台第18页共22页四.TFT 生产常用中英文名称English name中文名称LCD (Liquid Crystal Display)液晶显示器Glass, substrate or glass substrate 玻璃基版TFT(Thin Film Transistor)薄膜晶体管Panel 面板Array 阵列Cell 液晶盒Module 模块Monitor 监视器Pixel 像素Computer 电脑Notebook -PC笔记本电脑(简称为NB )RGB (Red, Green, Blue)指红绿蓝三基色PM (Preventive Maintenance)预防保养Quality 品质Standard 标准 (指作业标准或质量指针)Material 材料Yield良率CIM(Computer Integration Manufacturing)计算机集成制造(指以计算机系统整合制造流程)FA (Factory Automation)工厂自动化Exit 出口Precaution 注意Warning 警告Emergency 紧急General 一般专有名词Alarm 警报Clean room洁净室HEPA (High Efficient Particulate Air) filter 高效能粒子空气过滤网Contamination 污染Temperature (TEMP)温度Humidity 湿度Pressure压力UPW (Ultra-Pure Water)超纯水DIW (De-Ionized Water)去离子水IPA (Isopropyl Alcohol)异丙醇Clean Room洁净室Sticky mat粘尘垫第19页共22页Cleanliness洁净度ESD (Electro-static Discharge)静电放电Laminar flow 层流(流体力学名词)Turbulent flow 扰流(流体力学名词)Alcohol 酒精Acetone 丙酮Particle 微粒子Dust 灰尘Gowning room换衣间Raised floor (grating floor)高架地板Air shower 风淋室Prohibit禁止Clean suit(bunny suit, dust-free garment)无尘服Glove 手套Hairnet 网帽Hood 头罩Mask口罩Clean shoes (dust-free shoes, boots)无尘鞋Vehicle 搬运车Robot机械手AGV (Automatic Guided Vehicle)自动搬运车MGV (Manual Guided Vehicle)人工搬运车Clean lifter净化电梯LIM (Linear Induction Motor) Carrier 线性感应马达传送载具OHS (Overhead Handling System)天车搬送系统Stocker (clean depot)工装篮存放架Battery 电池Bay作业区Inter-bay 作业区和作业区之间Intra-bay 作业区之内Bumper 减震缓冲器Charger 充电器Controller 控制器Conveyor 传送带Crane吊车(在Stocker 内)FFU (Fan Filter Unit)风扇过滤器Factory Automation工厂自动化Host主机第20页共22页I/O (Input / Output)输入/输出IR (Infra-Red)红外线IRIF(Infra-Red Interface)红外接口Load 上料Unload 下料Magnetic tape 磁条(AGV 路径所使用的磁条)Retrieve检索RTM (Rotary Transfer Machine)旋转传送机构SCARA arm AGV 传送臂Reset重新设定Transportation 传输Recipe 工艺参数的组合Stock out 将Cassette 取出货栈Request 要求,请求Transfer 传送,运送Instruction 命令,指令Select 选择Cancel 取消Operation 作业,操作Support 支援,支持Process 工艺Start 开始Comp.完成(Completion 的缩写)Batch批量Lot批(指生产线的在制品或产品控制单位)ID (Identity)识别码(如Lot ID or Chip ID)Sheet 片(Array 区玻璃基版计数单位)Chip 片(Cell 区玻璃计数单位)Inspection 检验Defect 缺陷Production 生产Hold 滞留Release 释放Equipment 设备(简称为EQP )Tool工具,机台WIP (Work In Process)在制品(工艺在制品)Maintenance 维修保养Cassette 工装篮(阵列段),卡夹 (制盒段及模块段)Operation Instruction 生产操作系统Empty空的第21页共22页Reserve 预备Report 报告Scrap 报废Rework 返工Log on 登录,入系统Log off 注销登录,离开系统Note 批注Stepper步进式曝光机Backside-Exposure背面曝光RIE (Reactive ion etching)反应性离子刻蚀PE (Plasma Etch)等离子刻蚀机ICP (Inductive Coupled Plasma)电感偶式等离子刻蚀机O 3 Asher 臭氧灰化(为去光刻胶机的模块之一,用来去除工艺的有机残留)CJ 指高压水洗MS 指超音波水洗Heat 加热Cool 冷却Spec 规格Brush毛刷(清洗机所使用之软刷)DI; DI water; Deionized Water 去离子水UPW 超纯水Vent 破真空,真空环境下的玻璃送至LoadLock 闭锁时,通入氮气平Purge 清洁(用CF 或NF 系列的气体通入CVD 清除器壁累积的硅)Rinse 冲洗Veri-Code 二维码Bar-Code 条形码Vacuum 真空Plasma等离子 PE (Plasma Etch)等离子刻蚀机Uniformity 均匀性(类似(大-小)/平均值的概念)Array 阵列段Etching Rate 刻蚀速率(=刻蚀厚度/时间)Detergent 清洁剂γ- Butyrolactone γ-丁内酯, 简称γ液, 用于清除APR 版上的PI PS (Photo Spacer)光刻胶衬垫料(功能与普通的Spacer 相同, 一般用于大尺寸UV sealant UV 胶(用于两块玻璃基板组合时假固定用)Polyfron均压纸( 基板压合时使用, 用于分隔基板, 可使压力均匀分布CDA (Compressed Dry Air)压缩高压干燥空气Cell 制盒段Control box电源控制箱第22页共22页Valve 阀门, 控制阀Breaker 电源开关, 继电器Clean booth 洁净工作台Chip 芯片Tape 胶带Screw螺丝FPC (Flexible Printed Cable)柔性印刷线路板PCB (Printed Circuit Board)印刷电路板TAB (Tape Automated Bonding)自动连带式贴合OLB (Outer Lead Bonding)外引脚接合ILB (Inner Lead Bonding)内引脚接合COG (Chip on Glass)芯片压合在玻璃模块段ModuleACF(Anisotropic Conductivefilm) Film)各向异性导电膜。
LOGIC FLOW 问题参考答案
LOGIC FLOW 问题参考答案1. ZERO OXIDE的作用是什么?第一是为后序的ZERO PHOTO时做PR的隔离,防止PR直接与Si接触,造成污染。
PR中所含的有机物很难清洗。
第二,WAFTER MARK是用激光来打的,在Si表面引致的融渣会落在OXIDE上,不会对衬底造成损伤。
第三是通过高温过程改变Si表面清洁度。
2. ZERO PHOTO的目的是什么?W AFTER MARK是否用光照?ZERO PHOTO是为了在Si上刻出精对准的图形,ASML stepper system requires a zero mark for global alignment purpose。
WAFTER MARK不用光照,用LASER刻出WAFTER的刻号。
3. STI PAD OXIDE的作用是什么?厚薄会有什么影响?用什么方法生长?NITRIDE的应力很大,直接淀积到SI上会在SI表面造成位错,所以需要一层OXIDE作为缓冲层,同时也作为NITRIDE ETCH时的STOP LA YER。
如果太薄,会托不住NITRIDE,对衬底造成损伤,太厚的话在后序生长线氧时易形成鸟嘴。
PAD OXIDE是用湿氧的方法生长的。
4. STI NITRIDE的作用是什么?为什么要精确它的厚度?NITRIDE是作为STI CMP的STOP LAYER。
NITRIDE的厚度要精确控制,一方面与PAD OXIDE,SiON,ARC的厚度相匹配,很好的控制exposure时的折射率,厚度为1625A时的CD control最好;另一方面与BIRD’S BEAK的形成有关。
如果NITRIDE太厚,BIRD’S BEAK会减小,但是引入Si中的缺陷增加;如果加厚PAD OXIDE,可减小缺陷,但BIRD’S BEAK会增加。
5. 在STI ETCH中SION的作用是什么?在整个0.18um SRAM FLOW中SION厚度有几个?STI ETCH之前DEP了一层SION,目的是为了降低NITRIDE的反射率,作为ARC。
TFT工艺流程中中英文标准名称
Expose
曝光
Edge expose
边缘曝光
Develop
显影
PR hard bake
坚膜
ADI
显影后自动光学检查
MIC/MAC In spection
宏微观检查
CD after develop
显影后关键尺寸检查
Hard bake by ove n
烘炉坚膜
S/D Mo Wet etch
源电极/漏电极湿刻
工装栏缓冲器
Rotatio n/Cooli ng Un it
旋转/冷却单元
Turn Alig n Un it
旋转/对位单元
Turn Over Un it
翻转单元
After Rubb ing Clea ner
摩擦后清洗
Spacer Spray
衬垫球散布
Spacer Coun ter
衬垫球计数
Spacer rework
宏微观检查
ITO film etch
ITO膜湿刻
PR strip
光刻胶剥离
AEI
刻蚀后自动光学检查
Micro/Macro In specti on
宏微观检查
Final E/T
最终电测
Ann eal
煺火
Array test
阵列测试
Array repair
阵列修补
TEG test
TEG测试
Sort
分级
Cell Process flow
涂胶前清洗
Pre bake
预烘
PR Coati ng
光刻胶涂布
PR vacuum dry
光刻胶低压干燥
PR soft bake
(第五章)光刻工艺
典型高压汞灯的发射光谱
Intensity (a.u)
Deep UV (<260)
I-line (365)
G-line (436)
H-line (405)
300
400
500
600
Wavelength (nm)
光刻光源
汞灯 准分子激光
氟激光
名称
G-line H-line
I-line XeF
XeCl
KrF (DUV) ArF F2
不完全显影 PR
Substrate 过显影
Litho process-Auto ADI
Array Misplacement on first layer Wrong Reticle (RV option)
Example Viper defect clips
p
Hot Plate Track Robot
5.2 光刻工艺步骤及原理
光刻工艺的八个基本步骤
一、气相成底膜 二、旋转涂胶 三、软烘 四、对准和曝光 五、曝光后烘培〔PEB 六、显影 七、坚膜烘培 八、显影检查
光刻工艺的八个基本步骤 涂胶
曝光
显影
检查
一、气相成底膜
工艺目的:增加光刻胶与硅片的粘附性. 工艺过程: 1. 在气相成底膜之前,硅片要进行化学清洗、甩
Wafer
PR
EBR
Drain
SSoollvveenntt
分滴
Chuck
PPRR ssuucckk bbaacckkExPnnohRozazzduzlilsesetpenser
Vacuum
WWaaffeerr
旋转铺开 旋转甩掉 溶剂挥发 去除边圈
显示面板LTPO工艺风险List
LTPS/LTPO
★★
Risk List
PEP.
Risk项
风险描述
预防/应对措施
LTPS/LTPO Risk Level Link
IGZO退火位置和条件对TFT特性影响较大:
23
IGZO退火
1.位置:Photo后热处理使PR残膜硬化,诱发TFT特性 不良问题(Vth负偏、Hump) 2.条件:热处理温度高时Vo增加,Carrier浓度也会增
LTPS
★
CI
CI中的H通过退火工艺扩散
15
H扩散影响IGZO和 LTPS
1.修复PSI,使LTPS TFT电性变好:SS减小, Vth正偏,Vth离散性变好 2.不利于IGZO,使IGZO TFT电性变差:Vth
1.优化退火条件:Temperature、Time 2.优化ILD1、Buffer2工艺条件:膜厚、膜 质
优化工艺条件,管控Particle数量,消除Particle影 响
LTPS
★
3
Cure
PI退火过程会经过反应、固化、气体释放, 如果温度短时过高会使PI膜层破裂
优化退火条件(Eg:PI分布退火,温度逐步升高)
LTPS
★
4
Peeling
a-Si膜厚不均,导致BL1和PI2粘附力降低, 导致Peeling
LTPS
★
8
P-Si晶粒大小
P-Si晶粒大小均一性超规格会造成TFT特性 异常
优化ELA工艺条件
LTPS
★
9
厚度超规格
GI厚度1200-1400A,太厚太薄都会对TFT 特性造成不良影响
优化工艺条件,确保膜厚在规格内
GI
LTPS
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
光刻胶cd gap -回复
光刻胶(CD Gap)是在光刻工艺中使用的一种特殊胶液。
在光刻工艺中,光刻胶用于制作半导体器件和集成电路中的图形。
光刻工艺是一种重要的微电子制造工艺,用于在硅片上制作微小的图形和结构。
光刻技术基于光敏胶液对紫外光的敏感性。
在光刻过程中,光刻胶液起到了很关键的作用,它能够在硅片表面形成一层高度均匀、厚度可控的薄膜。
这个薄膜可以通过光刻机器暴露给紫外光,然后根据所需的图形进行显影,最终形成微小的结构。
光刻胶液的制备通常需要选择合适的化合物作为基础材料,并进行一系列的化学反应来调整其光敏性和物理性能。
CD Gap是一种常用的光刻胶液,其主要成分是聚酰亚胺。
这种聚酰亚胺材料与紫外光有很好的反应性,可以很容易地形成高质量的图形。
光刻胶液的制备过程需要进行严格的控制和测试。
首先,需要选择合适的化合物作为基础材料,并混合一定比例的溶剂来形成溶液。
溶剂的选择也是非常重要的,它应该能够很好地溶解光刻胶材料,并且在显影过程中易于去除。
然后,通过物理或化学方法将光刻胶液与硅片表面接触,形成一层均匀的薄膜。
接下来的步骤是将光刻胶薄膜曝光给紫外光。
这一步骤需要使用光刻机器
来控制光源的强度和照射时间。
光刻胶中的光敏物质在吸收紫外光后会发生化学反应,从而改变其化学性质。
这个过程被称为曝光。
在曝光之后,还需要进行显影步骤。
显影是通过浸泡光刻胶薄膜在显影液中,使未曝光的区域溶解,从而形成所需的图形。
显影液的选择也是关键,它应该能够溶解未曝光区域的光刻胶,但不会影响已曝光区域的胶液。
最后,剩余的光刻胶需要通过退火或其他处理方法来去除。
退火是一个热处理过程,通过加热硅片可以使光刻胶变脆,然后通过机械或化学方法将其从硅片上去除。
光刻胶CD Gap在微电子制造中扮演着重要角色。
它具有良好的光敏性和物理性能,能够确保在硅片表面形成高质量的图形。
在光刻工艺中,控制好光刻胶液的制备过程以及曝光和显影步骤的参数是非常关键的。
只有严格控制每个步骤,才能生产出稳定、可靠的半导体器件和集成电路。
总之,光刻胶CD Gap是一种用于光刻工艺的特殊胶液。
它通过一系列的化学反应和处理步骤,能够在硅片表面形成高质量的图形。
在微电子制造中,光刻胶CD Gap扮演着至关重要的角色,能够确保半导体器件和集成电路的稳定性和可靠性。
通过对光刻胶制备过程的严格控制和测试,可以获得高质量的产品。