EDA课程设计
合工大eda课程设计
合工大eda课程设计一、课程目标知识目标:1. 学生能够理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。
2. 学生能够掌握数字电路设计的基本原理,并运用EDA工具进行简单电路的设计与仿真。
3. 学生能够了解合肥工业大学EDA课程的相关知识体系,将所学知识与实际工程应用相结合。
技能目标:1. 学生能够运用EDA工具进行电路原理图绘制、电路仿真和波形分析。
2. 学生能够独立完成简单的数字电路设计任务,提高实际操作能力。
3. 学生能够通过课程学习,培养团队协作、问题分析和解决方案设计的能力。
情感态度价值观目标:1. 学生通过学习EDA课程,培养对电子设计自动化技术的兴趣和热情,提高学习积极性。
2. 学生能够认识到电子技术在现代社会中的重要作用,增强社会责任感和使命感。
3. 学生在团队协作中,学会尊重他人、沟通交流,培养良好的团队精神和职业素养。
课程性质:本课程为实践性较强的课程,旨在培养学生的实际操作能力和工程应用能力。
学生特点:学生具备一定的电子基础知识,但对EDA工具和数字电路设计了解较少,需要通过本课程的学习,提高实际操作能力。
教学要求:教师需结合实际案例,引导学生掌握EDA工具的使用方法,注重培养学生的动手能力和团队协作能力。
在教学过程中,关注学生的个体差异,因材施教,确保课程目标的实现。
通过课程目标的分解,为教学设计和评估提供明确的方向。
二、教学内容1. EDA基本概念:介绍EDA技术的起源、发展及其在现代电子设计中的应用,使学生了解EDA技术的重要性。
2. EDA工具使用:讲解常用EDA工具软件(如Multisim、Protel等)的基本操作,使学生掌握电路原理图绘制、仿真和波形分析的方法。
3. 数字电路设计原理:阐述数字电路的基本原理,包括逻辑门、组合逻辑电路、时序逻辑电路等,为学生进行电路设计奠定基础。
4. 电路设计与仿真:结合教材章节,指导学生运用EDA工具进行简单数字电路的设计、仿真和测试,提高学生的实际操作能力。
eda课程设计
eda课程设计EDA课程设计EDA(Electronic Design Automation)是电子设计自动化的缩写,是指利用计算机技术和软件工具来辅助电子设计的过程。
EDA课程设计是指在电子设计自动化领域中,为学生提供相关的课程内容和实践机会,以培养学生的电子设计能力和创新能力。
EDA课程设计的目的是为了让学生掌握电子设计自动化的基本理论和方法,了解EDA软件工具的使用和应用,培养学生的电子设计能力和创新能力。
在EDA课程设计中,学生需要学习电路设计、PCB 设计、仿真分析、布局布线等相关知识,掌握EDA软件工具的使用和应用,完成电子设计项目的实践任务。
EDA课程设计的内容包括电路设计、PCB设计、仿真分析、布局布线等方面。
在电路设计方面,学生需要学习电路基本理论、电路分析方法、电路设计流程等知识,掌握常用的电路设计软件工具,如Protel、Altium Designer等。
在PCB设计方面,学生需要学习PCB设计的基本原理、PCB设计流程、PCB设计软件工具的使用等知识,掌握常用的PCB设计软件工具,如PADS、Altium Designer等。
在仿真分析方面,学生需要学习仿真分析的基本原理、仿真分析的流程、仿真分析软件工具的使用等知识,掌握常用的仿真分析软件工具,如SPICE、PSpice等。
在布局布线方面,学生需要学习布局布线的基本原理、布局布线的流程、布局布线软件工具的使用等知识,掌握常用的布局布线软件工具,如PADS、Altium Designer等。
EDA课程设计的实践任务包括电子设计项目的设计和实现。
学生需要根据实际需求,设计并实现一个电子产品,包括电路设计、PCB 设计、仿真分析、布局布线等方面。
在电路设计方面,学生需要根据实际需求,设计一个符合要求的电路,包括电路原理图、电路元器件的选型和布局等。
在PCB设计方面,学生需要根据电路设计的要求,设计一个符合要求的PCB板,包括PCB板的布局、布线、元器件的安装等。
eda全套课程设计
eda全套课程设计一、教学目标本课程旨在让学生掌握eda的基本概念、原理和方法,培养学生运用eda技术解决实际问题的能力。
通过本课程的学习,学生应达到以下目标:1.知识目标:了解eda的基本概念、发展历程和应用领域;掌握eda的基本原理和方法,包括电路描述、逻辑设计、仿真验证等;熟悉eda工具的使用和操作。
2.技能目标:能够运用eda工具进行电路描述和逻辑设计;具备分析和解决eda 技术问题的能力;能够进行简单的eda项目实践。
3.情感态度价值观目标:培养学生对eda技术的兴趣和好奇心,激发学生主动学习和探索的精神;培养学生团队合作意识和沟通协调能力。
二、教学内容本课程的教学内容主要包括以下几个部分:1.eda概述:介绍eda的基本概念、发展历程和应用领域。
2.eda基本原理:讲解eda的基本原理,包括电路描述、逻辑设计、仿真验证等。
3.eda工具的使用:介绍常见eda工具的使用方法和操作技巧。
4.eda项目实践:通过实际项目案例,让学生掌握eda技术的应用。
三、教学方法为了提高教学效果,本课程将采用以下教学方法:1.讲授法:讲解eda的基本概念、原理和方法。
2.案例分析法:分析实际案例,让学生了解eda技术的应用。
3.实验法:让学生动手实践,掌握eda工具的使用。
4.讨论法:鼓励学生提问、发表见解,培养团队合作意识。
四、教学资源为了支持教学内容和教学方法的实施,我们将准备以下教学资源:1.教材:选用权威、实用的eda教材作为主教材。
2.参考书:提供相关的eda参考书籍,丰富学生的知识体系。
3.多媒体资料:制作精美的课件、视频等多媒体资料,提高学生的学习兴趣。
4.实验设备:配置足够的实验设备,确保每个学生都能动手实践。
五、教学评估为了全面、客观地评价学生的学习成果,本课程将采用以下评估方式:1.平时表现:通过课堂参与、提问、讨论等方式,评估学生的学习态度和积极性。
2.作业:布置适量的作业,评估学生对课程内容的掌握程度。
eda课程设计5篇[修改版]
第一篇:eda课程设计数字钟一、设计要求设计一个数字钟,具体要求如下:1、具有时、分、秒计数显示功能,以24小时循环计时。
2、具有清零、校时、校分功能。
3、具有整点蜂鸣器报时以及LED花样显示功能。
二、设计方案根据设计要求,数字钟的结构如图8-3所示,包括:时hour、分minute、秒second计数模块,显示控制模块sel_clock,七段译码模块deled,报时模块alert。
三、VHDL程序library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; useIEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM;--use UNISIM.VComponents.all;entityddz is port(rst,clk: in std_logic; hour_h: out std_logic_vector( 6 downto 0); hour_l: outstd_logic_vector( 6 downto 0); min_h: out std_logic_vector( 6 downto 0);min_l: out std_logic_vector( 6 downto 0);sec_h: out std_logic_vector( 6 downto 0);sec_l: out std_logic_vector( 6 downto 0)); endddz;architecture Behavioral of ddz is signalcnt: std_logic_vector(15 downto 0); signalsec_h_in: std_logic_vector( 3 downto 0); signalsec_l_in: std_logic_vector( 3 downto 0); signalmin_h_in: std_logic_vector( 3 downto 0); signalmin_l_in: std_logic_vector( 3 downto 0); signalhour_h_in: std_logic_vector(3 downto 0); signalhour_l_in: std_logic_vector(3 downto 0);signalclk_s,clk_m,clk_h: std_logic; begin process(rst,clk) begin if rst='0' then sec_h_in'0');sec_l_in'0');clk_msec_l_inifsec_h_in=5 thensec_h_inclk_melsesec_h_inclk_mend if; else sec_l_inclk_mend if; end if; end process;process(rst,clk_m) begin if rst='0' then-- min_h_in'0');min_l_in'0'); -- clk_hmin_l_inmin_h_inclk_mend if; else min_l_inend if; end if; end process;process(rst,clk_n) begin if rst='0' then-- hour_h_in'0');hour_l_in'0'); -- clk_hhour_l_inhour_h_inclk_nend if; else hour_l_inend if; end if; end process;process(sec_l_in) begin casesec_l_in iswhen "0000" =>sec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lprocess(sec_h_in) begin casesec_h_in iswhen "0000" =>sec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hprocess(min_l_in) begin casemin_l_in iswhen "0000" =>min_lmin_lmin_lwhen "0011" =>min_lmin_lmin_lmin_lmin_lmin_lmin_lmin_lprocess(min_h_in) begin casemin_h_in iswhen "0000" =>min_hmin _h min _hmin _hmin _h min _hmin _hmin _hmin _hmin _hmin _hend case; end process;process(hour_l_in) begin casehour_l_in iswhen "0000" =>hour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lprocess(hour_h_in) begin casehour_h_in iswhen "0000" =>hour_hhour_hhour_hhour_h hour _h hour _h hour _h hour _h hour _hhour_h hour _h四、VHDL仿真结果五、课程设计心得通过这次课程设计,有效得巩固了课本所学的知识,而且通过上机仿真不断发现问题并及时改正,加深了我们对该课程设计的印象。
电子eda课程设计
电子eda课程设计一、课程目标知识目标:1. 让学生掌握电子设计自动化(EDA)的基本概念,理解EDA工具在电子设计中的应用。
2. 学习并掌握EDA软件的使用方法,包括原理图设计、PCB布线、仿真等基本操作。
3. 了解电子元件的封装和电路板的生产工艺,理解电子产品的设计流程。
技能目标:1. 能够使用EDA软件完成简单的原理图设计和PCB布线。
2. 能够进行基本的电路仿真,分析电路性能。
3. 能够根据设计需求选择合适的电子元件,并进行正确的封装。
情感态度价值观目标:1. 培养学生对电子设计的兴趣,激发创新意识,提高实践能力。
2. 培养学生严谨的科学态度,注重细节,提高问题解决能力。
3. 培养学生团队合作精神,学会分享和交流,提高沟通能力。
课程性质:本课程为实践性较强的电子设计课程,旨在让学生通过实际操作,掌握电子设计的基本技能。
学生特点:学生具备基本的电子知识,对电子产品设计有一定兴趣,但实际操作能力较弱。
教学要求:结合学生特点,注重理论与实践相结合,强化操作训练,提高学生的实际设计能力。
通过课程学习,使学生在知识、技能和情感态度价值观方面得到全面提升。
教学过程中,注重分解课程目标为具体的学习成果,以便进行有效的教学设计和评估。
二、教学内容1. 电子设计自动化(EDA)基本概念介绍:包括EDA的定义、发展历程、应用领域等。
- 教材章节:第一章 电子设计自动化概述2. EDA软件安装与使用:学习EDA软件的安装、界面认识、基本操作方法。
- 教材章节:第二章 EDA软件及其使用3. 原理图设计:掌握原理图设计的基本流程、元件调用、连线操作、原理图检查等。
- 教材章节:第三章 原理图设计4. PCB布线设计:学习PCB布线的基本原则、布局、布线、敷铜等操作。
- 教材章节:第四章 PCB布线设计5. 电路仿真:了解仿真软件的使用,进行基本的电路性能分析。
- 教材章节:第五章 电路仿真6. 电子元件封装与生产工艺:学习电子元件的封装类型、选择及电路板的生产工艺。
eda课程设计
eda课程设计一、教学目标本课程的教学目标是使学生掌握EDA(电子设计自动化)的基本概念、原理和方法,培养学生运用EDA工具进行电子系统设计和分析的能力。
具体目标如下:1.知识目标:(1)理解EDA的定义、发展历程和应用领域;(2)掌握常见的EDA工具及其功能;(3)了解电子系统设计的基本流程;(4)熟悉硬件描述语言(如VHDL、Verilog)的基本语法和用法。
2.技能目标:(1)能够熟练使用至少一种EDA工具进行电子系统设计;(2)能够编写简单的硬件描述语言程序,实现基本的电子系统功能;(3)具备分析电子系统性能和优化设计的能力;(4)能够阅读和理解电子设计相关的技术文档。
3.情感态度价值观目标:(1)培养学生的创新意识和团队合作精神;(2)增强学生对电子技术的兴趣和热情;(3)培养学生严谨的科学态度和良好的职业道德。
二、教学内容本课程的教学内容主要包括以下几个方面:1.EDA基本概念和原理:介绍EDA的定义、发展历程、应用领域和基本原理。
2.EDA工具的使用:介绍常见的EDA工具(如Cadence、Altera、Xilinx等)的功能和操作方法。
3.硬件描述语言:介绍硬件描述语言(如VHDL、Verilog)的基本语法、结构和用法。
4.电子系统设计流程:介绍电子系统设计的整个流程,包括需求分析、电路设计、仿真验证、硬件实现等。
5.电子系统性能分析与优化:讲解如何分析电子系统的性能,并提出优化设计的策略。
6.实例分析:通过具体案例,使学生掌握EDA工具在实际工程项目中的应用。
三、教学方法本课程采用讲授法、实践教学法和小组讨论法相结合的教学方法。
1.讲授法:用于讲解EDA的基本概念、原理和工具的使用方法。
2.实践教学法:通过实际操作EDA工具,使学生熟悉电子系统设计流程,提高实际操作能力。
3.小组讨论法:分组进行案例分析,培养学生的团队协作能力和解决问题的能力。
四、教学资源1.教材:选用权威、实用的EDA教材,如《电子设计自动化原理与应用》。
EDA译码器课程设计
EDA译码器课程设计一、课程目标知识目标:1. 理解EDA(电子设计自动化)的基本概念及其在数字电路设计中的应用;2. 掌握译码器的基本原理,了解其功能及在数字系统中的作用;3. 学会使用EDA工具进行译码器电路的设计与仿真。
技能目标:1. 能够运用所学知识,利用EDA工具绘制译码器的原理图;2. 培养动手实践能力,完成译码器电路的搭建与调试;3. 提高分析问题和解决问题的能力,通过对译码器电路的仿真,学会查找并解决电路故障。
情感态度价值观目标:1. 培养学生对电子工程领域的兴趣,激发创新意识;2. 培养学生的团队协作精神,提高沟通与表达能力;3. 增强学生的自信心,培养勇于面对挑战的精神。
课程性质:本课程为电子技术实践课程,注重理论与实践相结合,以培养学生的实际操作能力为主。
学生特点:学生为高中年级,具备一定的电子技术基础知识,对实践操作有较高的兴趣。
教学要求:结合学生特点和课程性质,采用启发式教学,引导学生主动探究,注重培养学生的动手能力和实际问题解决能力。
在教学过程中,将课程目标分解为具体的学习成果,以便进行有效的教学设计和评估。
二、教学内容1. EDA基本概念:介绍EDA的概念、发展历程及其在电子设计中的应用;参考教材章节:第一章 电子设计自动化概述2. 译码器原理:讲解译码器的工作原理、功能和应用;参考教材章节:第三章 数字电路基础,第四节 译码器与编码器3. EDA工具使用:教授如何使用EDA工具(如Multisim、Proteus等)进行译码器电路的原理图绘制与仿真;参考教材章节:第五章 电子设计自动化工具,第一节 原理图绘制与仿真4. 译码器电路设计:指导学生利用EDA工具设计简单的译码器电路;参考教材章节:第六章 数字电路设计实例,第二节 译码器电路设计5. 译码器电路搭建与调试:组织学生动手搭建译码器电路,进行调试与故障排查;参考教材章节:第七章 实践操作,第三节 数字电路搭建与调试6. 课程总结与评价:对所学内容进行总结,评估学生的学习成果;参考教材章节:第十章 课程总结与评价教学内容安排与进度:第1周:EDA基本概念学习;第2周:译码器原理学习;第3周:EDA工具使用教学;第4周:译码器电路设计与仿真;第5周:译码器电路搭建与调试;第6周:课程总结与评价。
EDA课程设计及应用课程设计
EDA课程设计及应用课程设计一、课程目标知识目标:1. 理解EDA(电子设计自动化)的基本概念,掌握相关软件工具的使用方法。
2. 学习并掌握数字电路的基本原理和设计流程,能运用EDA工具完成基础数字电路的设计与仿真。
3. 掌握课程相关领域的专业知识,如电子元器件、逻辑门、触发器等,并能将其应用于实际电路设计中。
技能目标:1. 培养学生运用EDA软件进行数字电路设计与仿真的能力,提高实践操作技能。
2. 培养学生分析问题、解决问题的能力,使其能够针对实际问题进行合理的电路设计和优化。
3. 提高学生的团队协作能力,通过小组合作完成课程设计项目。
情感态度价值观目标:1. 培养学生对电子工程领域的兴趣,激发其学习热情,形成主动探索和积极进取的学习态度。
2. 培养学生严谨、细致、负责的工作作风,养成遵守实验规程、爱护实验设备的良好习惯。
3. 培养学生的创新意识,鼓励他们勇于尝试、不断挑战,形成良好的创新精神。
本课程针对高年级学生,在已有电子技术基础的前提下,通过EDA课程设计及应用,旨在提高学生的理论联系实际能力,培养他们在电子设计领域的创新精神和实践技能。
课程目标紧密围绕学科知识、学生特点及教学要求,分解为具体的学习成果,以便于教学设计和评估的实施。
二、教学内容本章节教学内容主要包括以下三个方面:1. EDA基本概念与工具使用- 介绍EDA的基本概念、发展历程和应用领域。
- 学习并掌握主流EDA软件(如Multisim、Proteus等)的基本操作和功能。
2. 数字电路原理与设计- 回顾数字电路基础知识,包括逻辑门、触发器、计数器等。
- 学习数字电路设计流程,掌握从电路图绘制到电路仿真的全过程。
教学内容关联教材第3章“数字电路基础”和第4章“数字电路设计与仿真”。
3. 课程设计与实践- 分组进行课程设计,要求学生运用所学知识完成一个简单的数字电路设计与仿真。
- 教学过程中,安排如下进度:a. 第1周:分组,明确设计任务和要求。
eda最简单的课程设计
eda最简单的课程设计一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)的基本概念,理解其在现代电子设计领域的重要性。
2. 使学生了解并能够描述EDA工具的基本功能,如电路图绘制、电路仿真、PCB设计等。
3. 帮助学生理解并掌握简单电路的EDA设计流程。
技能目标:1. 培养学生运用EDA软件进行电路图绘制和电路仿真的能力。
2. 培养学生通过EDA工具设计简单的PCB布线图,并能进行基本的质量检查。
3. 培养学生具备团队协作和沟通能力,能够就设计过程中遇到的问题进行有效讨论。
情感态度价值观目标:1. 培养学生对电子设计领域的兴趣,激发创新意识,提高实践能力。
2. 培养学生具有严谨的科学态度,对待设计任务认真负责,追求卓越。
3. 培养学生具备合作精神,学会尊重他人意见,共同解决问题。
分析课程性质、学生特点和教学要求:本课程为电子技术相关课程,旨在让学生通过实践操作,掌握EDA技术的基本应用。
针对初中年级学生,课程内容需结合学生已有的电子知识,注重启发性和趣味性。
在教学过程中,注重培养学生的实际操作能力和团队协作能力。
二、教学内容1. EDA基本概念介绍:包括EDA的定义、发展历程、主要应用领域。
2. EDA工具功能概述:介绍常见的EDA软件,如Altium Designer、Cadence等,以及它们的主要功能特点。
- 电路图绘制:学习如何使用EDA软件绘制电路原理图。
- 电路仿真:了解仿真原理,学习使用EDA软件进行电路功能仿真。
- PCB设计:学习PCB布线设计的基本概念和方法,掌握简单PCB布线图的绘制。
3. 简单电路的EDA设计流程:以实际案例为引导,让学生了解从电路设计到PCB制作的完整流程。
- 设计任务分析:明确设计任务,分析电路功能需求。
- 电路图绘制与仿真:根据需求,使用EDA软件完成电路图绘制并进行仿真测试。
- PCB布线与制作:将电路图转化为PCB布线图,并进行基本的质量检查。
eda课程设计数字
eda课程设计数字一、课程目标知识目标:1. 学生能理解数字电子设计自动化(EDA)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用EDA软件进行简单的数字电路设计,包括逻辑门、组合逻辑电路及时序逻辑电路的设计。
3. 学生能理解并描述数字电路的仿真过程,掌握基本仿真技巧。
技能目标:1. 学生能够熟练操作EDA软件,完成给定功能的数字电路设计。
2. 学生能够分析并解决数字电路设计过程中遇到的问题,具备基本的故障排查能力。
3. 学生能够运用所学知识,进行小组合作,共同完成一个综合性的数字电路设计项目。
情感态度价值观目标:1. 学生对数字电路设计产生兴趣,培养主动探索、动手实践的精神。
2. 学生在小组合作中,学会沟通、协作、分享,培养团队意识和集体荣誉感。
3. 学生认识到数字电路在现代科技中的重要作用,增强对科技发展的关注和责任感。
课程性质:本课程为电子技术课程的拓展与深化,强调实践性与应用性。
学生特点:学生为高中生,具有一定的电子技术基础,对实践操作有较高的兴趣。
教学要求:注重理论与实践相结合,引导学生主动参与,提高学生的动手能力和创新能力。
在教学过程中,将课程目标分解为具体的学习成果,以便于教学设计和评估。
二、教学内容本课程以《电子技术》教材中数字电路设计相关章节为基础,结合以下内容进行教学:1. 数字电子设计自动化(EDA)概念介绍:包括EDA工具的作用、分类和应用领域。
2. EDA软件操作:以某款常用EDA软件为例,教学软件的基本操作、界面认识及简单使用技巧。
3. 数字电路设计基础:回顾逻辑门、组合逻辑电路、时序逻辑电路的基本原理和设计方法。
4. EDA软件在数字电路设计中的应用:利用EDA软件设计简单的组合逻辑电路和时序逻辑电路。
5. 数字电路仿真:介绍仿真原理,指导学生进行电路仿真,分析仿真结果。
6. 故障排查与优化:教授学生如何分析电路故障,进行电路优化,提高电路性能。
7. 综合项目设计:安排一个综合性的数字电路设计项目,涵盖课程所学内容,培养学生的综合应用能力。
eda课程设计verilog
eda课程设计verilog一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)的基本概念,理解Verilog硬件描述语言的基本语法和结构。
2. 使学生能够运用Verilog语言进行简单的数字电路设计和仿真。
3. 帮助学生了解数字电路的基本原理,掌握基本的硬件描述技巧。
技能目标:1. 培养学生运用Verilog语言进行数字电路设计和仿真的能力。
2. 培养学生分析电路图,提取关键信息,用Verilog语言进行描述的能力。
3. 提高学生团队协作和沟通能力,学会在项目中进行分工合作。
情感态度价值观目标:1. 培养学生热爱电子技术,对EDA领域产生浓厚的兴趣。
2. 培养学生具备良好的工程素养,严谨的态度和勇于探索的精神。
3. 引导学生关注我国电子产业的发展,树立为国家和民族电子科技事业作贡献的远大理想。
课程性质:本课程为实践性较强的学科,要求学生具备一定的计算机操作能力和编程基础。
学生特点:学生处于高年级阶段,已具备一定的电子技术和编程知识,具有较强的学习能力和自主性。
教学要求:注重理论与实践相结合,强调动手实践,鼓励学生主动探索和解决问题。
在教学过程中,关注学生的个体差异,提供个性化的指导和帮助,确保学生能够达到课程目标。
通过课程学习,使学生能够将所学知识应用于实际项目中,提高其综合运用能力。
二、教学内容本课程教学内容主要包括以下几部分:1. EDA基本概念与原理:介绍EDA技术的基本概念、发展历程和应用领域,分析数字电路设计的基本原理。
教学大纲:第一章,第1-2节。
2. Verilog硬件描述语言:讲解Verilog的基本语法、数据类型、运算符和模块结构。
教学大纲:第二章,第1-4节。
3. 数字电路设计与仿真:通过实例讲解如何使用Verilog语言进行数字电路设计和仿真,包括组合逻辑电路、时序逻辑电路等。
教学大纲:第三章,第1-6节。
4. 实践项目:组织学生进行小组项目,运用所学知识设计并实现一个简单的数字电路系统。
eda的简易课程设计
eda的简易课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用EDA软件进行简易电路设计与仿真,了解电路的基本原理。
3. 学生掌握数字电路基础知识,能对简易数字电路进行设计和分析。
技能目标:1. 学生能够独立操作EDA软件,完成基本电路的绘制、仿真和调试。
2. 学生具备基本电路分析能力,能够根据电路图分析电路性能和潜在问题。
3. 学生具备团队协作和沟通能力,能够与他人共同完成复杂电路设计任务。
情感态度价值观目标:1. 学生培养对电子工程的兴趣,激发创新精神和实践能力。
2. 学生树立正确的价值观,认识到科技发展对国家和社会的重要性。
3. 学生养成严谨、细致的学习态度,提高自我管理和自主学习能力。
课程性质:本课程为实践性较强的电子工程专业课程,注重培养学生的动手能力和实际操作技能。
学生特点:学生具备一定的电子基础知识和计算机操作能力,对新鲜事物充满好奇心,善于团队合作。
教学要求:教师需结合学生特点和课程性质,采用案例教学、分组讨论、实践操作等多种教学方法,激发学生学习兴趣,提高教学效果。
同时,注重过程评价,关注学生在课程学习中的实际表现和成果产出。
通过本课程的学习,使学生能够达到上述课程目标,为后续相关课程的学习和实际工作打下基础。
二、教学内容本课程以《电子设计自动化》教材为基础,结合课程目标,选择以下教学内容:1. EDA基本概念与原理:介绍EDA技术的发展、基本概念和原理,使学生了解EDA技术在现代电子设计中的应用。
教学内容:(1)EDA技术的起源与发展趋势(2)EDA软件的组成和基本功能2. EDA软件操作与使用:以实际操作为主,培养学生熟练使用EDA软件的能力。
教学内容:(1)EDA软件的安装与界面介绍(2)基本操作:绘制电路图、元件库的使用、原理图设计3. 简易电路设计与仿真:通过实际案例,使学生掌握简易电路设计与仿真的方法。
基于eda的课程设计
基于eda的课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握其原理及在电子工程中的应用。
2. 学生能掌握EDA软件的基本操作,并运用软件进行简单的电路设计和仿真。
3. 学生能理解并描述EDA技术在我国电子产业发展中的重要性。
技能目标:1. 学生能独立运用EDA软件进行电路设计,具备初步的电子设计能力。
2. 学生能通过小组合作,解决实际电子设计问题,提高团队协作和沟通能力。
3. 学生能运用所学知识,进行创新性电子设计,培养动手实践和创新能力。
情感态度价值观目标:1. 学生通过学习EDA课程,培养对电子工程的兴趣,激发学习热情。
2. 学生在学习过程中,树立正确的价值观,认识到科技进步对国家和社会的重要性。
3. 学生通过团队合作,学会尊重他人,培养良好的沟通能力和团队精神。
课程性质:本课程为实践性较强的电子设计课程,旨在培养学生的动手实践能力和创新精神。
学生特点:学生处于高年级阶段,已具备一定的电子基础和计算机操作能力,对新技术充满好奇。
教学要求:教师需结合课本内容,注重理论与实践相结合,关注学生的个体差异,提高学生的综合运用能力。
在教学过程中,将课程目标分解为具体的学习成果,以便进行有效的教学设计和评估。
二、教学内容1. EDA基本概念与原理:介绍EDA的定义、发展历程,以及其在电子设计中的应用原理。
教材章节:第一章 电子设计自动化概述2. EDA软件操作与使用:讲解主流EDA软件(如Altium Designer、Cadence等)的基本操作和功能。
教材章节:第二章 EDA软件及其操作3. 电路设计与仿真:教授如何运用EDA软件进行电路设计与仿真,分析电路性能。
教材章节:第三章 电路设计与仿真4. EDA技术在电子产业中的应用:介绍EDA技术在实际工程项目中的应用案例,以及在我国电子产业发展中的重要性。
教材章节:第四章 EDA技术与应用5. 创新设计实践:引导学生运用所学知识进行创新性电子设计,提高实践能力。
EDA技术课程设计课程设计
EDA技术课程设计课程设计1. 简介EDA(Electronics Design Automation)即电子设计自动化,是电子信息领域中常用的技术,主要涉及到电子产品的设计与制造。
EDA技术课程设计是为了培养学生在EDA技术方面的理论与设计能力,提高其在EDA技术领域中的竞争力。
本文将介绍EDA技术课程设计的具体内容及设计方法。
2. 课程设计内容EDA技术课程设计主要包含以下内容:2.1 电路原理图设计电路原理图设计是EDA技术领域中的一个重要技能。
学生需要掌握电路原理图的设计方法和使用EDA软件进行导入和绘制的方法,同时学生需要了解电路原理图对电路功能的影响,了解原理图中各个部分之间的关系。
2.2 PCB设计PCB(Printed Circuit Board)即印制电路板,是EDA技术中另一个重要的内容。
本课程要求学生熟练掌握PCB的设计原理、设计方法和制造技术,学会使用EDA软件进行PCB布局、走线和样板制作。
2.3 仿真分析仿真分析是EDA技术中的另一项技能,主要是通过运行仿真程序来验证电路设计的正确性和稳定性。
学生需要了解仿真软件的基本原理、仿真流程、仿真结果的分析方法,掌握设计过程中的仿真分析技能,提高设计的可靠性和性能。
3. 设计方法EDA技术课程设计的设计方法主要包括以下几个步骤:3.1 学习EDA基础知识学生需要首先掌握EDA技术基本概念,包括电路原理图、PCB设计、EDA软件的基本使用等知识。
在此基础上,学生需要进一步深入了解EDA技术的设计过程和常用工具。
3.2 确定设计目标和需求如何选择电路原理图的设计方法、PCB的布局方式和仿真程序的使用方法等,这些都需要根据设计目标和需求来确定。
3.3 进行电路原理图设计学生需要根据设计目标和需求进行电路原理图设计,并在EDA软件中导入绘图。
3.4 进行PCB设计在完成电路原理图设计后,学生需要进行PCB设计,包括PCB布局、走线和样板制作等。
eda综合训练课程设计
eda综合训练课程设计一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)的基本概念和原理,理解其在现代电子设计中的应用;2. 使学生了解并掌握EDA软件的使用方法,能运用软件进行电路设计与仿真;3. 帮助学生掌握数字电路设计的基本流程,了解常见的数字电路及其功能。
技能目标:1. 培养学生运用EDA软件进行电路设计与仿真的实际操作能力;2. 提高学生分析问题和解决问题的能力,使其能够在实际项目中运用所学知识;3. 培养学生的团队协作能力,学会在项目中分工合作,共同完成任务。
情感态度价值观目标:1. 激发学生对电子设计领域的兴趣,培养其创新意识和探索精神;2. 培养学生严谨、踏实的科学态度,注重实践操作,提高动手能力;3. 引导学生关注社会发展,认识到电子技术在国家经济和科技发展中的重要作用。
分析课程性质、学生特点和教学要求,本课程将目标分解为以下具体学习成果:1. 学生能够独立使用EDA软件完成基本电路设计与仿真;2. 学生能够运用所学知识分析实际电路问题,并提出解决方案;3. 学生能够在团队项目中发挥积极作用,与团队成员共同完成任务;4. 学生对电子设计产生浓厚兴趣,愿意主动探索新知识,为未来从事相关领域工作打下基础。
二、教学内容根据课程目标,教学内容分为以下三个部分:1. EDA基本概念与原理- 教材章节:第一章 电子设计自动化概述- 内容:EDA基本概念、发展历程、主要应用领域;数字电路设计的基本原理。
2. EDA软件操作与使用- 教材章节:第二章 EDA软件及其应用- 内容:EDA软件的安装与配置;基本操作方法;电路设计与仿真流程;典型电路设计与仿真案例分析。
3. 数字电路设计实践- 教材章节:第三章 数字电路设计基础;第四章 数字电路设计与仿真- 内容:数字电路设计的基本流程;常见数字电路及其功能;基于EDA软件的数字电路设计与仿真;团队项目实践。
教学安排与进度:1. 第1周:EDA基本概念与原理学习;2. 第2-3周:EDA软件操作与使用方法学习;3. 第4-5周:数字电路设计基础与案例分析;4. 第6-8周:团队项目实践,完成一个数字电路设计与仿真项目。
eda基础课程设计
eda基础课程设计一、课程目标知识目标:1. 理解EDA(电子设计自动化)的基本概念和原理;2. 掌握EDA软件的基本操作和使用方法;3. 学习并掌握常见电子元件的符号及其在电路图中的表示;4. 学会分析简单的电子电路,并运用EDA软件进行电路仿真。
技能目标:1. 能够独立使用EDA软件绘制电路图;2. 能够运用EDA软件进行电路仿真,分析电路性能;3. 能够根据实际需求设计简单的电子电路;4. 培养学生的团队协作能力和问题解决能力。
情感态度价值观目标:1. 培养学生对电子设计的兴趣,激发学生的学习热情;2. 增强学生的创新意识,培养勇于尝试、不断探索的精神;3. 培养学生的环保意识,了解电子设计在环保方面的应用;4. 培养学生严谨、细致的工作态度,提高学生的责任感。
本课程针对初中年级学生,结合学科特点和学生实际,注重理论与实践相结合,旨在培养学生的动手操作能力和实际应用能力。
通过本课程的学习,学生将掌握EDA基础知识和技能,为后续深入学习电子技术打下坚实基础。
同时,课程注重情感态度价值观的培养,使学生形成积极向上的学习态度,提高综合素质。
二、教学内容1. EDA概述- 了解EDA的发展历程、应用领域及发展趋势;- 熟悉EDA软件的种类及功能。
2. EDA软件操作基础- 学习EDA软件的安装与界面认识;- 掌握基本操作,如新建、打开、保存项目;- 学习绘制原理图的基本方法。
3. 电子元件及符号- 认识常见的电子元件,如电阻、电容、二极管、晶体管等;- 学习并掌握电子元件在电路图中的符号表示。
4. 电路图绘制与仿真- 学习电路图绘制的基本规则;- 掌握简单电子电路的绘制方法;- 运用EDA软件进行电路仿真,分析电路性能。
5. 实践案例- 分析并设计简单的放大电路、滤波电路等;- 学习电路调试方法,解决常见问题。
教学内容根据课程目标,结合教材章节进行安排。
在教学过程中,注重理论与实践相结合,让学生在学习过程中逐步掌握EDA基础知识和技能。
eda课程设计趣味简单
eda课程设计趣味简单一、教学目标本课程的学习目标包括知识目标、技能目标和情感态度价值观目标。
知识目标要求学生掌握EDA(电子设计自动化)的基本概念、原理和工具。
技能目标要求学生能够熟练使用EDA工具进行电路设计和仿真,并能解决实际问题。
情感态度价值观目标要求学生培养创新意识、团队合作精神和对电子工程领域的兴趣。
通过本课程的学习,学生将能够理解EDA的重要性,掌握基本的设计原理和工具,培养解决问题的能力,并培养对电子工程领域的热爱和追求。
二、教学内容本课程的教学内容将根据课程目标进行选择和,确保内容的科学性和系统性。
教学大纲将明确教学内容的安排和进度,指出教材的章节和列举内容。
1.EDA基本概念和原理:介绍EDA的定义、发展和应用领域。
2.EDA工具的使用:详细讲解常用EDA工具的使用方法,如电路图绘制、仿真和布局布线等。
3.电路设计实例:通过实际电路设计案例,让学生掌握电路设计的基本流程和方法。
4.设计原理和技巧:讲解电路设计的原理和技巧,如信号完整性分析、电源完整性分析和热设计等。
5.实际问题解决:通过解决实际问题,培养学生运用EDA工具进行电路设计和仿真的能力。
三、教学方法为了激发学生的学习兴趣和主动性,将采用多种教学方法。
1.讲授法:讲解EDA的基本概念、原理和工具,引导学生理解和学习。
2.案例分析法:通过分析实际电路设计案例,让学生掌握电路设计的方法和技巧。
3.实验法:让学生亲自动手进行电路设计和仿真实验,增强实践能力。
4.讨论法:学生进行小组讨论,培养团队合作精神和解决问题的能力。
四、教学资源为了支持教学内容和教学方法的实施,将选择和准备适当的教学资源。
1.教材:选择适合学生水平的教材,提供系统性的学习内容。
2.参考书:提供相关的参考书籍,丰富学生的知识储备。
3.多媒体资料:制作课件、视频等多媒体资料,增强教学的生动性和直观性。
4.实验设备:准备相应的实验设备和器材,让学生进行实际操作和实验。
eda课程设计完整
eda课程设计完整一、教学目标本课程的教学目标是使学生掌握eda的基本概念、原理和应用方法,培养学生进行电子设计的能力和创新意识。
知识目标:使学生了解eda的基本概念、原理和流程,掌握常用的电子设计工具和软件,了解电子设计的基本方法和步骤。
技能目标:培养学生进行电子设计的能力,使学生能够熟练地运用eda工具进行电子电路的设计、仿真和验证,培养学生解决实际问题的能力。
情感态度价值观目标:培养学生对电子设计的兴趣和热情,使学生认识到电子设计在现代科技中的重要地位和作用,培养学生的创新意识和团队协作精神。
二、教学内容本课程的教学内容主要包括eda的基本概念、原理和应用方法。
1.eda的基本概念和原理:介绍eda的定义、发展和分类,讲解电子设计的基本流程和方法,使学生了解eda工具的作用和重要性。
2.eda的应用方法:讲解常用的eda工具和软件的使用方法,介绍电子设计的基本方法和步骤,使学生能够熟练地运用eda工具进行电子电路的设计、仿真和验证。
3.实例分析:通过具体的实例分析,使学生更好地理解和掌握eda的应用方法,培养学生解决实际问题的能力。
三、教学方法本课程的教学方法包括讲授法、案例分析法和实验法。
1.讲授法:通过教师的讲解,使学生了解和掌握eda的基本概念、原理和应用方法。
2.案例分析法:通过具体的案例分析,使学生更好地理解和掌握eda的应用方法,培养学生解决实际问题的能力。
3.实验法:通过实验室的实践操作,使学生熟练地掌握eda工具的使用方法,培养学生的动手能力和创新意识。
四、教学资源本课程的教学资源包括教材、参考书、多媒体资料和实验室设备。
1.教材:选用权威、实用的教材,为学生提供全面、系统的学习资源。
2.参考书:提供相关的参考书籍,丰富学生的学习资料,拓展学生的知识面。
3.多媒体资料:制作精美的多媒体课件,生动形象地展示教学内容,提高学生的学习兴趣和效果。
4.实验室设备:提供完善的实验室设备,让学生能够进行实际的操作练习,提高学生的动手能力和创新意识。
eda计算机课程设计
eda计算机课程设计一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)的基本概念,了解其在计算机科学与电子工程领域的重要应用。
2. 使学生了解并掌握至少一种EDA工具的使用,如 Quartus、Vivado等,并运用该工具进行基本的数字电路设计。
3. 让学生理解并掌握Verilog或VHDL硬件描述语言的基本语法和结构,能运用其编写简单的数字电路模块。
技能目标:1. 培养学生运用EDA工具进行数字电路设计的能力,包括设计、仿真和测试等环节。
2. 培养学生利用硬件描述语言进行编程的能力,能够独立编写并调试简单的数字电路模块。
3. 培养学生团队协作和沟通能力,通过项目实践,学会与他人共同分析和解决问题的方法。
情感态度价值观目标:1. 培养学生对EDA技术及计算机辅助设计的兴趣,激发学生探究电子设计领域新技术、新方法的热情。
2. 培养学生具备良好的工程素养,遵循工程规范,注重实际应用,提高学生的实践能力和创新能力。
3. 培养学生面对挑战和困难时,保持积极的心态,勇于尝试,不断调整和完善设计方案。
本课程针对高年级学生,具有较强的实践性和应用性。
结合学生特点和教学要求,课程目标旨在使学生在掌握基本理论知识的基础上,提高实际操作能力和创新能力。
通过课程学习,使学生能够适应计算机科学与电子工程领域的发展需求,为未来从事相关领域工作打下坚实基础。
二、教学内容本章节教学内容主要包括以下三个方面:1. EDA基本概念与工具使用- EDA技术发展历程及其在现代电子设计中的应用。
- 介绍主流EDA工具,如Quartus、Vivado等,并指导学生掌握基本操作。
2. 硬件描述语言Verilog/VHDL- Verilog/VHDL基本语法和结构。
- 常用数字电路模块的Verilog/VHDL编写方法。
- 代码编写规范及调试技巧。
3. 数字电路设计与实践- 数字电路设计流程,包括设计、仿真和测试。
- 基本数字电路模块的设计与实现。
eda流水课程设计
eda流水课程设计一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)的基本概念,理解其在现代电子设计领域的重要作用。
2. 学会使用EDA工具进行简单电路设计与仿真,理解电路图与印制电路板(PCB)设计的流程。
3. 了解流水线设计原理,掌握流水线技术在电子设计中的应用。
技能目标:1. 培养学生运用EDA工具进行电路设计的能力,能够独立完成简单的电路设计与仿真。
2. 提高学生的团队协作能力,学会在团队中分工合作,完成复杂的流水线项目。
3. 培养学生的问题解决能力,能够针对设计过程中遇到的问题进行分析与优化。
情感态度价值观目标:1. 培养学生对电子设计的兴趣和热情,激发学生的创新意识和探索精神。
2. 培养学生的责任心和敬业精神,认识到电子设计在国家和经济发展中的重要性。
3. 培养学生的环保意识,了解并关注电子设计对环境的影响,遵循可持续发展的原则。
课程性质:本课程为实践性较强的学科,结合理论教学与实际操作,注重培养学生的动手能力和实际应用能力。
学生特点:学生具备一定的电子基础知识,对新技术和新工具充满好奇,喜欢动手实践,但可能缺乏系统性的设计经验和团队协作能力。
教学要求:教师需结合学生特点,采用案例教学、任务驱动等方法,引导学生主动参与,注重理论与实践相结合,提高学生的综合能力。
通过分解课程目标为具体学习成果,为教学设计和评估提供依据。
二、教学内容1. EDA基本概念:介绍EDA的定义、发展历程、主要功能及其在电子设计中的应用。
教材章节:第一章 EDA技术概述2. EDA工具使用:讲解常用EDA软件的功能、界面及基本操作,如原理图绘制、PCB设计、仿真等。
教材章节:第二章 EDA工具及其应用3. 流水线设计原理:阐述流水线设计的概念、分类、原理及其在电子设计中的应用。
教材章节:第三章 流水线设计基础4. 电路设计与仿真:通过实例讲解电路原理图绘制、仿真分析及PCB设计过程。
教材章节:第四章 电路设计与仿真5. 流水线项目实践:分组进行流水线项目设计,包括需求分析、方案设计、电路设计与仿真、PCB设计等。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
可编程逻辑器件电路设计课程设计报告出租车计费器的FPGA实现姓名:邱建华,欧鹏逸,吴丹阳班级:09电信1学号:200930580120200930580117200930690122指导老师:陈楚日期:2011.12.12~2011.12.20华南农业大学工程学院摘要近年,随着城市活动频繁增多,市民生活节奏加快,出租车日益成为我们日常的出行必不可少得交通工具,它为我们提供了极大的便利。
出租车计费器是乘客与司机双方的交易准则,是出租车中最重要的工具,它关系着交易双方的利益,用户对出租车计费器的要求也越来越高。
因此,设计一个性能稳定,计费准确,易操作和可靠耐用的出租车计费器势在必行。
本课程设计内容是利用VHDL语言、PLD设计基于FPGA的出租车计费系统,选用ALTERA公司低功耗、低成本、高性能的FPGA芯片,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了编译,功能仿真和下载。
使其实现计费以及预置和模拟汽车启动、加速、停止、暂停等功能,并动态扫描显示车费数目。
将计费器分成计费电路模块,数据转换模块,动态扫描模块,选择信号模块,显示模块,译码模块进行模拟仿真设计加以实现。
运用顶层设计思路设计好各个底层文件对各个底层文件进行功能仿真,并用文本方法来实现顶层文件的设计,对顶层文件进行功能仿真,并把顶层文件下载到实验箱的FPGA 加以硬件分析。
关键词: 出租车计费器VHDL MAX+PLUS ⅡFPGA目录1 方案比较与选择(须详细阐述创新点或新见解) (01)1.1 课题选择 (01)1.2 方案一选择分析 (01)1.3 方案二选择分析 (02)1.4 选择方案一 (04)2 底层文件仿真与分析 (04)2.1 计数模块 (04)2.2 转换模块 (06)2.3 显示模块 (07)2.4 译码模块 (08)2.5 通道选择模块 (08)3 顶层文件仿真与分析 (09)3.1 顶层文件分析 (09)3.2 顶层文件仿真 (10)4硬件验证分析 (11)5课程设计心得 (11)Abstract (13)参考文献 (14)附录(源代码)1.方案比较与选择1.1课题选择本课程设计选择课题:出租车计费器的FPGA实现。
出租车的计费工作原理一般分成3个阶段:1、车的起步开始计费。
首先显示起步价(本次设计起步费为7.00元),车在行驶3km以内,只收起步价7.00元。
2、车行驶超过3km后,按每公里2.2元计费(在7.00元基础上每行驶1km车费加2.2元),车费依次累加。
3、行驶路程达到或超过9km后(车费达到20元),每公里加收50%的车费,车费变成按每公里3.3元开始计费。
车暂时停止(行驶中遇红灯或中途暂时停车)不计费,车费保持不变。
若停止则车费清零,等待下一次计费的开始。
1.2方案一选择分析1.2.1方案一原理框图图1.2.1图1.2.21.2.2方案一分析1、计程车在不同的路程阶段都有不同的算法。
每来一次时钟边沿,计程车走100米,再根据计程车费用算发算出相应的路费。
再把十进制的路费和路程通过转换模块转换成四位二进制BCD码。
2、通过一个通道选择,把要显示出来的二进制BCD码数据输入译码模块,完成了一个动态选择数码管。
注意的是:通道选择的时钟CLK1要比CLK2大的很多。
一般来说一千赫兹〈CLK1〈12MHZ,CLK2〈46HZ。
3、从显示模块输出的数据通过译码模块翻译成数码管上可以显示的阿拉伯数字。
1.3方案二选择分析1.3.1方案二原理框图本方案分为5个模块,信号控制模块、里程统计模块、计费模块、动态显示模块和译码模块,如图1.3.1图1.3.11.3.2方案二分析1、信号控制模块当起停键为启动状态时(高电平),模块根据车轮转动脉冲,每累计100米即向计费模块和里程统计模块发出一个脉冲;当处于停止状态时暂停发出脉冲,此时计费模块和里程统计模块相应的停止计数。
2、里程统计模块其包括计数车行信号控制模块发出的脉冲(控制器每发一个脉冲代表运行了0.1公里),把路程信号输入到计费模块以及把带一位小数的路程值输出到动态显示模块。
3、计费模块其初值为0元,一旦启动即变成7元,当里程超过3公里后接受里程统计模块的脉冲的驱动,每来一个脉冲(代表运行了1公里)其数值加2.2元,里程模块超过9公里时数值加3.3元,并把数值输出到动态显示模块。
4、动态显示模块把里程统计模块和计费模块输入的信号以扫描的方式选择相应的数码管以及输出到译码模块。
5、译码模块把动态显示模块输入的信号转译为数码管相应的编码。
1.4选择方案一两个方案的模块大同小异,不同点主要在与数据转换的算法不同,和输出选择的算法不同。
方案一采用高速扫描时钟跟随计费模块数据,把10进制数4位数化为4个BCD码,其后通过译码加上选择信号进行输出显示路程或车费,74LS138有选择信号模块进行片选。
方案二采用的是16位二进制数据,然后通过算法16位二进制转换为16位BCD码,在74LS138上也是采用状态机进行按顺序输出。
但是代码上比方案一复杂而且代码阅读不够方案一清晰。
所以采用方案一的算法精神。
2.底层文件仿真与分析2.1计数模块此模块用来计算车费,该电路主要有4个输入端口:时钟信号jifeiclk、启动start、停止stop、暂停pause;有两个输出端口:路费输出端口chefei和路程输出端口luc。
计费模块编译后生成的原理图见图2.1.1。
图2.1.1计费模块分有三段仿真:1、当路程不到3公里时,收费7元(图 2.1.2 luc<300(3公里)时的仿真波形);2、当路程大于3公里时,在7元基础上,每公里加2.2元(图2.1.3 900>luc>300时的仿真波形);3、当大于9公里时,每公里加3.3元(图2.1.4 luc>900时的仿真波形)。
图2.1.2波形说明:stop=‟1‟时,lc(路程)和num(计数)清零,chf(车费)保持初始起步价不变。
当按下start时,开始启动lc和num计数,chf依然保持初始的700,等待lc变化到一定程度而变化。
图 2.1.3 900>luc>300时的仿真波形波形说明:每一次脉冲num累积一次,当900>luc>300时累积到一百次的时候chf相应相加220,然后num清零,继续下一轮的计数。
lc一直在每次脉冲时计数加1。
图 2.1.4 luc>900时的仿真波形波形说明:每一次脉冲num累积一次,当luc>900时累积到一百次的时候chf相应相加330,然后num清零,继续下一轮的计数。
lc一直在每次脉冲时计数加1。
2.2转换模块转换模块即将路费和里程的整数表示分离为四位十进制数表示,实际上就是把数据的千位、百位、十位、个位分离出来。
以方便译码电路译码,实现数码管显示。
计费器的两个输出端口作为该电路的输入口,即两个输入信号为路费chscore和里程lscore,输出信号则为经过转换后的路费和里程。
转换模块编译后生成的原理图如图2.2.1。
图2.2.1具体波形图看(图 2.2.2 转换模块的仿真波形图)。
图 2.2.2 转换模块的仿真波形图波形说明:将计费模块的的数字输入转换模块,包括lc和chf,在clk1上升沿来临的时候,将各位十进制数个,十,百,千对应的数字转换成对应的二进制BCD码。
lc和chf各四个,每个都是四位二进制码。
2.3显示模块显示模块即把数据的千位、百位、十位、个位经过选择通道的作用把对应的位数显示出来。
实际上就是实现下一步的数码管动态扫描。
显示模块编译后生成的电路如图2.3.1。
图 2.3.1 显示模块原理图具体仿真波形如(图 2.3.2 显示模块的仿真波形)。
图 2.3.2 显示模块的仿真波形波形说明:将转换模块的数据a1~a4,b1~b4输入显示模块,通过选择通道动态扫描显示输出d。
2.4译码模块译码模块即分别把显示模块传来的数据的千位、百位、十位、个位进行翻译,使之成为可以在数码管上显示的阿拉伯数字。
真所谓“分别”是由于实验箱上的数码管是动态显示的。
编译后生成的模块图如图2.4.1。
其中输入端口:d,输出端口:q。
图 2.4.1 译码模块原理图具体仿真波形如(图 2.4.2 译码模块的仿真图)。
图 2.4.2 译码模块的仿真图波形说明:将转换模块的十进制BCD码d输入译码模块,根据LED工作原理,为了使相应的数字能显示出来,将其翻译为LED数码管点亮对应数字所需要的电平。
用…0‟代表低电平,…1‟代表高电平。
相应电平使数码管对应的灯亮灭,使之显示需要的数字q。
2.5通道选择模块通道选择是用来控制路费和里程的八位输出顺序,即在显示模块上选择那个数将要通过译码模块显示到数码管。
但由于频率很高,所以人眼是看不出数码管的动态变化。
这也是时钟1的频率要比时钟2的频率大得很多的原因。
选择信号产生电路程序经过编译仿真后生成的模块见图2.5.1。
其中输入端口:clk,输出端口:a。
图 2.5.1 通道选择模块原理图具体仿真波形如(图 2.5.2 选择通道的仿真波形)。
图 2.5.2 选择通道的仿真波形波形说明:在clk上升沿来临的时候a变化,累加一次。
a是三位二进制码,能表达整数范围为0~7,相当于八进制。
a一直从0~7循环,选择相应数码管输出显示。
3.顶层文件仿真与分析3.1顶层文件分析本实验顶层文件用文本编辑编写后保存为vhd格式生成,随后可以通过原理图编辑生成顶层文件的内部模块图,如(图3.1.1顶层文件内部原理图)图 3.1.1 顶层文件内部原理图图3.1.2 顶层模块图3.2顶层文件仿真图3.2 顶层文件仿真波形图波形说明:输入端口:tstop,tstart,tpause,toclk,tclk输出端口:tq,tac在时钟驱动下,当tstart=1,tstop=0,tpause=0时,,开始计费,按下tpause的路程和计费都暂停保持原状,当按下tstop的时候路程和车费回到初始状态,路程为00.00,车费为07.00。
4.硬件验证分析本实验经过软件上多次编译完善后下载到实验箱上进行硬件验证分析。
虽然编译过程中出现3个warning在jifei模块,但仔细检查还是觉得模块例化语句正确,遂暂时忽略。
1、第一阶段下载:计费模块取1公里为2.2元的跳变,即路程显示000.0,路费显示007.0。
但是路程显示077.0,而且路费显示不稳定,偶尔高位出现随机数字。
分析:多次分析计费模块,无错通过。
在检查顶层portmap语句后发现错误,个位和十位信号portmap到同一端口了。
修改后正常。
2、第二阶段下载:1公里的跳变使得路费显示有些缓慢,决定把加价跳变精度提高。