EDA课程设计报告-16选1选择器-
EDA实训报告总结
实训心得短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会.一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对quartus ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了vhdl语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。
此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。
最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。
总的来说,这次实训我收获很大.同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理.这次eda实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用eda设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序.本文基于verilog hdl的乒乓球游戏机设计,利用verilog hdl语言编写程序实现其波形数据功能在分析了cpld技术的基础上,利用cpld开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。
从整体上看来,实训课题的内容实现的功能都能实现,但也存在着不足和需要进一步改进的地方,为我今后的学习和工作奠下了坚实的基础。
通过此次的实训课题,掌握了制作乒乓球游戏机技术的原理及设计要领,学习并掌握了可编程逻辑电路的设计,掌握了软件、cpld元件的应用,受益匪浅,非常感谢瓮老师这一学期来的指导与教诲,感谢老师在学习上给予的指导,老师平常的工作也很忙,但是在我们学习的过程中,重来没有耽搁过,我们遇到问题问他,他重来都是很有耐心,不管问的学生有多少,他都细心的为每个学生讲解,学生们遇到的不能解决的,他都配合同学极力解决。
EDA课程设计实验报告详解
EDA课程设计报告;课题名称:16*16点阵显示专业:通信工程班级:2013级通信工程(2)班学号:—姓名:刘乐指导教师:杨泽林完成时间:2015年12月18号目录~一、设计目的二、课题的主要功能三、课题的功能模块划分四、主要功能的实现·五、实验程序六、系统调试与仿真七、总结与体会一、设计目的全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。
二、课题的主要功能问题描述本实验主要完成汉字字符在LED 上的显示,16*16 扫描LED 点阵的工作原理与8 位扫描数码管类似,只是显示的方式与结果不一样而已。
下面就本实验系统的16*16 点阵的工件原理做一些简单的说明。
16*16 点阵由此256 个LED 通过排列组合而形成16 行*16 列的一个矩阵式的LED 阵列,俗称16*16 点阵。
单个的LED 的电路如下图1 所示:图1 单个LED 电路图由上图可知,对于单个LED 的电路图当Rn 输入一个高电平,同时Cn 输入一个低电平时,电路形成一个回路,LED 发光。
也就是LED 点阵对应的这个点被点亮。
16*16 点阵也就是由16 行和16 列的LED 组成,其中每一行的所有16 个LED 的Rn 端并联在一起,每一列的所有16 个LED 的Cn 端并联在一起。
通过给Rn 输入一个高电平,也就相当于给这一列所有LED 输入了一个高电平,这时只要某个LED 的Cn 端输入一个低电平时,对应的LED 就会被点亮。
具体的电路如下图2所示:图2 16*16 点阵电路原理图在点阵上显示一字符是根据其字符在点阵上的显示的点的亮灭来表示的,如下图3 所示:图3 字符在点阵上的显示在上图中,显示的是一个“汉”字,只要将被“汉”字所覆盖的区域的点点亮,则在点阵中就会显示一个“汉”字。
EDA 课程设计
第一章绪论1.1 EDA技术的简介EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。
EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。
1.1.1 EDA的软件介绍EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:multiSIM7(原EWB的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim等等。
这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。
1.1.2 EDA技术的基本特征EDA代表了当今电子设计技术的最新发展方向。
它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分。
系统的关键电路用一片或几片专用集成电路(ASIC,ApplicationSpecificIntegratedCircnit)实现,然后采用硬件描述语言(HDlHardwareDescriptionLanguage)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。
1.1.3 EDA的应用EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。
在教学方面,几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。
主要是让学生了解EDA的基本概念和基本原理、掌握用HDL语言编写规范、掌握逻辑综合的理论和算法、使用EDA工具进行电子电路课程的实验验证并从事简单系统的设计。
EDA多路选择器设计实验报告
EDA多路选择器设计实验报告多路选择器设计实验一、实验目的:进一步熟悉Quartus II的Verilog文本设计流程,组合电路的设计仿真和硬件测试。
二、实验内容:1、根据4.1节流程,利用Quartus II完成4选1多路选择器的文本代码编辑输入和仿真测试等步骤,给出仿真波形。
2、在实验系统上硬件测试,验证此设计的功能。
三、实验程序:Quartus II程序:module mux41a(a,b,c,d,s0,s1,y);input a,b,c,d;input s0,s1;output y;reg y;always@(a,b,c,d,s0,s1)begin :mux41case({s0,s1})2'b00:y<=a;2'b01:y<=b;2'b10:y<=c;2'b11:y<=d;default :y<=a;endcaseendendmodulemodelsim程序:// Copyright (C) 1991-2012 Altera Corporation// Your use of Altera Corporation's design tools, logic functions // and other software and tools, and its AMPP partner logic // functions, and any output files from any of the foregoing // (including device programming or simulation files), and any // associated documentation or information are expressly subject // to the terms and conditions of the Altera Program License // Subscription Agreement, Altera MegaCore Function License // Agreement, or other applicable license agreement, including, // without limitation, that your use is for the sole purpose of // programming logic devices manufactured by Altera and sold by // Altera or its authorized distributors. Please refer to the // applicable agreement for further details.//******************************************************************** *********// This file contains a Verilog test bench template that is freely editable to// suit user's needs .Comments are provided in each section to help the user// fill out necessary details.//******************************************************************** *********// Generated on "10/11/2015 21:31:33"// Verilog Test Bench template for design : mux41a//// Simulation tool : ModelSim-Altera (Verilog)//`timescale 1 us/ 1 psmodule mux41a_vlg_tst();// constants // general purpose registers//reg eachvec;// test vector input registersreg a;reg b;reg c;reg d;reg s0;reg s1;// wires wire y;// assign statements (if any) mux41a i1 (// port map - connection between master ports and signals/registers .a(a),.b(b),.c(c),.d(d),.s0(s0),.s1(s1),.y(y));initialbegina=0;b=0;c=0;d=0;s0=0;s1=0;#20 s0=0;s1=1;#20 s0=1;s1=0;#20 s0=1;s1=1;#20 $stop;endalways #2 a=~a;always #4 b=~b;always #6 c=~c;always #8 d=~d;endmodule四、实验步骤:1、打开Quartus II,点击“file”中的“New Project Wizard”,新建工程到指定文件夹中2、点击“New”新建工作簿,写入程序3、点击“compile design”进行编译方法一:联合仿真1、点击“processing”中的“start”2、点击“file”中的“open”,找到“modelsim”,查找“.vt”文件3、打开“mux41a”文件4、修改文件中的程序5、打开“Assignments”,点击“setting”,修改时间6、点击“test benches”后再点击“New”添加“mux41a”文件7、再进行编译8、点击“Tools”,打开“Run Simulation Tool”,再选择“RTL Simulation”方法二:modelsim单独仿真1、在modelsim中新建“sim”文件2、打开“modelsim”,创建工程3、点击“create new file”,新建两个工作簿4、分别添加相应程序进去,进行编译5、选择library,点击work,之后再右击add?to wave?selected signals,最后选择simulate点击run?run all。
EDA课程实验设计报告
《EDA课程实验设计报告》洗衣机自动控制器设计一实验要求:1、由一个按键控制洗衣机的启动、暂停。
2、洗衣机洗涤一定时间后,能自动停止,由一个LED灯闪烁提示,并发出报警提示。
3、洗涤时间能用数码管显示,暂停时时间停止,暂停后时间在停止的时间基础上继续计时。
4、用至少四个LED指示灯的流动表示洗衣机的正转和反转。
即当正转20秒时,四个指示灯从右向左循环移动;反转20秒时,四个指示灯从右向左循环移动。
5、暂停10秒时,四个指示灯闪烁来表示电机停止转动。
二.设计总体思路,基本原理1.设计总体思路从课程设计要求来看,要求实现电机的正传、反转、暂停,用四个LED灯的状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。
接下来脉冲是一定的了,但是有分钟计数器和秒钟计数器还要考虑是不是要60分频器,就我们所学过的来说实现循环有移位寄存器;还有个问题,当洗涤时间到了,报警还要一个报警电路,根据要求,报警的蜂鸣器不可以长时间的叫,要有个合理的时间,我们可以用一个单稳态电路来实现。
方案为:直接从数值上进行提取信号来控制一个可以实现循环的74LS194来实现。
2.基本原理首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。
当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零。
三.单元电路设计1.一百进制分计数器和六十秒计数器的设计(1)分、秒计数器的设计分、秒计数器我们都用74192片,74192上升沿触发,由UP,DOWN两管脚控制加减计数,有异步置数端LOAR和异步复位端CLR,BO’和CO’分别输出高电平表示加进位和减进位。
由于74192没有保持脚,故需要外围电路实现保持功能。
课程设计报告:16选1选择器---数字逻辑课程设计
课程设计报告课程名称数字逻辑课程设计课题任务一 16选1选择器设计课题任务二 JK触发器的设计专业网络工程班级 1102 学号 21 姓名张宏磊指导教师刘洞波陈华光陈多2012年 12月 27日课程设计任务书课程名称数字逻辑课程设计课题任务一 16选1选择器设计课题任务二 JK触发器的设计专业班级网络工程学生姓名 1102 学号 21 指导老师刘洞波邓作杰陈多审批任务书下达日期: 2012年 12月 27日任务完成日期:2013年 01月 11日目录一、16选1选择器的功能...................................1.函数真值表.............................................2.函数电路图.............................................3.函数表达式.............................................二、详细设计..............................................1.创建项目................................................ 2.VHDL文本设计语言输入...................................3.编译功能界面............................................4.编译成功................................................5. 打开波形编辑器窗口.......................................6.对应结点查找..............................................7.综合编译形成网表..........................................三、程序功能调试............................................1.进入波形仿真功能.........................................2.给定输入信号.............................................3.进行时序仿真.............................................4.生成波形图...............................................四、心得体会...............................................------------------------------------------------------------------一、JK触发器的主要功能....................................1.特性方程................................................ 2.真植表.................................................. 3.函数逻辑电路图..........................................二、详细设计................................................. 1.创建项目..........................................2.输入文本语言程序进行编译................................. 3.编译成功,选择波形编辑器功能............................. 4.进行仿真设置............................................. 5.查找对应结点............................................ 6.形成综合后网表...........................................三、程序功能调试............................................ 1.给定输入................................................. 2.进入波形仿真............................................. 3.形成仿真波形.............................................四、心得体会................................................五、附录................................................... 1.16选1选择器设计源代码.................................. 2.JK触发器设计源代码...................................... 3.参考书目................................................ 4.课程设计评分表..........................................一、16选1选择器的主要功能数据选择器是常用的组合逻辑部件之一。
eda表决器课程设计
eda表决器课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)表决器的原理与功能;2. 学生能掌握表决器的电路设计,包括门电路的连接和逻辑功能的实现;3. 学生能了解数字电路基础知识,如逻辑门、触发器等,并与表决器的设计相结合。
技能目标:1. 学生能够运用所学知识,设计简单的EDA表决器电路;2. 学生能够运用相关软件工具进行电路仿真,观察和分析表决器的运行结果;3. 学生能够通过小组合作,解决实际设计中遇到的问题,提高团队协作能力。
情感态度价值观目标:1. 培养学生对电子设计自动化技术的兴趣,激发学习热情;2. 培养学生严谨、认真的学习态度,提高学生的自主学习能力;3. 培养学生关注社会、科技发展的意识,树立创新精神。
课程性质:本课程为电子技术课程,结合实际操作,注重培养学生的实践能力和创新精神。
学生特点:学生处于高年级阶段,具备一定的电子技术基础知识和实践操作能力。
教学要求:结合学生特点,课程要求理论与实践相结合,注重启发式教学,提高学生的分析问题、解决问题的能力。
通过本课程的学习,使学生能够将所学知识应用于实际工程设计中,培养具备创新精神和实践能力的优秀电子技术人才。
二、教学内容本课程教学内容主要包括以下几部分:1. 电子设计自动化(EDA)技术概述:使学生了解EDA技术的基本概念、发展历程和应用领域,为后续学习表决器设计打下基础。
2. 逻辑门电路及其应用:介绍基本逻辑门电路(如与门、或门、非门等)的原理、功能和应用,为学生设计表决器电路提供必要的知识储备。
3. 组合逻辑电路设计:讲解组合逻辑电路的设计方法,使学生掌握表决器电路的设计步骤,包括逻辑表达式、真值表、逻辑图的绘制。
4. 表决器电路设计:详细讲解表决器的工作原理、设计方法,引导学生通过实际操作,设计出符合要求的表决器电路。
5. 电路仿真与测试:教授学生如何运用相关软件工具进行电路仿真,观察和分析表决器的运行结果,找出并解决设计中可能存在的问题。
16选1选择器课程设计
16选1选择器课程设计一、课程目标知识目标:1. 学生能理解16选1选择器的原理和功能,掌握其基本组成部分;2. 学生能运用所学知识,分析并设计简单的16选1选择器电路;3. 学生了解16选1选择器在现实生活中的应用,理解其在科技领域的重要性。
技能目标:1. 学生能通过动手实践,掌握16选1选择器的搭建和调试方法;2. 学生能够运用所学知识解决实际问题,具备一定的创新能力和实践能力;3. 学生能够运用16选1选择器进行数据选择和传输,提高电子电路设计能力。
情感态度价值观目标:1. 培养学生对电子技术的兴趣和好奇心,激发学生的学习热情;2. 培养学生团队合作精神,学会在团队中沟通、协作、分享;3. 增强学生的环保意识,认识到科技发展对环境保护的重要性;4. 培养学生严谨的科学态度,养成认真细致的学习习惯。
课程性质:本课程为电子技术实践课程,旨在让学生通过动手实践,掌握16选1选择器的原理和应用。
学生特点:学生为初中生,具备一定的电子技术基础,好奇心强,喜欢动手实践。
教学要求:教师应注重理论与实践相结合,引导学生主动参与,培养学生的创新能力和实践能力。
在教学过程中,关注学生的学习进度,及时调整教学策略,确保课程目标的实现。
通过课程学习,使学生能够将所学知识应用于实际生活中,提高学生的综合素质。
二、教学内容1. 理论知识:- 16选1选择器的基本概念与原理;- 16选1选择器的电路符号、功能及分类;- 16选1选择器在实际电路中的应用案例分析。
2. 实践操作:- 16选1选择器的搭建与调试方法;- 电路连接与检测技巧;- 创新设计:运用16选1选择器实现特定功能。
3. 教学大纲:- 第一课时:介绍16选1选择器的基本概念、原理和分类;- 第二课时:讲解16选1选择器的电路符号、功能及应用案例;- 第三课时:学生动手搭建16选1选择器电路,进行调试;- 第四课时:创新设计,学生运用16选1选择器实现特定功能;- 第五课时:总结与评价,展示学生作品,交流学习心得。
EDA技术实验报告完整版
福建农林大学金山学院信息工程类实验报告课程名称:EDA技术姓名:邱彬彬系:信息与机电工程系专业:电子信息工程专业年级:2010级学号:100201079指导教师:蔡剑卿职称:讲师2013年05月03日实验项目列表福建农林大学金山学院信息工程类实验报告系:信息与机电工程系专业:电子信息工程年级: 2010级姓名:邱彬彬学号: 100201079 实验课程: EDA技术实验室号:__田实405 实验设备号: 2B 实验时间: 2013年4月13日指导教师签字:成绩:实验一Quartus II 9.0软件的使用1.实验目的和要求本实验为验证性实验,其目的是熟悉Quartus II 9.0软件的使用,学会利用Quartus II 9.0软件来完成整个EDA开发的流程。
2.实验原理利用VHDL完成电路设计后,必须借助EDA工具中的综合器、适配器、时序仿真器和编程器等工具进行相应的处理后,才能使此项设计在FPGA上完成硬件实现,并得到硬件测试,从而使VHDL设计得到最终的验证。
Quartus II是Altera提供的FPGA/CPLD开发集成环境,包括模块化的编译器,能满足各种特定设计的需要,同时也支持第三方的仿真工具。
3.主要仪器设备(实验用的软硬件环境)实验的硬件环境是:微机一台GW48 EDA实验开发系统一套电源线一根十芯JTAG口线一根USB下载线一根USB下载器一个实验的软件环境是:Quartus II 9.0软件4.操作方法与实验步骤利用Quartus II 9.0软件实现EDA的基本设计流程:创建工程、编辑文本输入设计文件、编译前设置、全程编译、功能仿真。
利用Quartus II 9.0软件实现引脚锁定和编译文件下载。
利用Quartus II 9.0软件实现原理图输入设计文件的编辑和产生相应的原理图符号元件。
5.实验内容及实验数据记录安装QUARTUSII软件;因为实验时我的机器了已经有QUARTUSII软件,所以我并没有进行安装软件的操作。
电子行业EDA课程设计报告
电子行业EDA课程设计报告1. 引言本文档是电子行业EDA课程的设计报告,旨在介绍课程的设计目标、内容、实施过程以及所取得的成果。
EDA(Electronics Design Automation)是指电子设计自动化,是电子行业中一种重要的设计和开发方法。
本课程旨在培养学生掌握EDA的基本概念、流程和工具,从而提高他们在电子行业中的设计能力和竞争力。
2. 设计目标本课程的设计目标如下:1.培养学生掌握EDA的基本概念和原理。
2.培养学生熟练掌握EDA工具的使用和应用。
3.培养学生具备独立进行EDA项目设计和开发的能力。
4.提高学生的团队合作和沟通能力。
3. 课程内容本课程的内容主要包括以下几个方面:3.1 EDA基础知识在本节课程中,学生将学习EDA的基本概念和原理,包括电子设计流程、硬件描述语言、电路仿真和验证等方面的知识。
3.2 EDA工具的使用本节课程将介绍常用的EDA工具,包括电路设计工具、电路仿真工具和电路布局工具等。
学生将学习如何使用这些工具进行电路设计、仿真和验证。
3.3 EDA项目设计与开发在本节课程中,学生将进行一个EDA项目的设计与开发实践。
学生将根据给定的项目需求,使用所学的EDA工具进行电路设计、仿真和验证,并最终提交一个完整的EDA项目报告。
3.4 团队合作与项目管理本节课程将讲解团队合作和项目管理的基本原理和方法,包括任务分配、进度管理和沟通协作等方面的内容。
学生将通过分组合作,在完成EDA项目的过程中提高团队合作和沟通能力。
4. 实施过程本课程的实施过程主要包括以下几个阶段:4.1 阶段一:知识讲解在此阶段,教师将讲解EDA的基本概念、流程和工具使用方法。
学生将通过课堂听讲和课后阅读相关资料,对EDA的基础知识进行学习和理解。
4.2 阶段二:工具练习在此阶段,学生将通过实际操作,熟悉常用的EDA工具的使用方法。
教师将提供实验环境和相关实验指导,学生将利用课余时间进行工具的练习和实践。
EDA课程设计报告-16选1选择器
课程设计报告课程名称数字逻辑课程设计课题任务一 16选1选择器设计课题任务二 JK触发器的设计专业班级学号姓名指导教师2013-12-8课程设计任务书课程名称数字逻辑课程设计课题任务一 16选1选择器设计课题任务二 JK触发器的设计专业班级网络工程学生学号指导老师审批任务书下达日期: 2011年 12月 14日任务完成日期:2011年 12月 31日前言Quartus® II design 是最高级和复杂的,用于system-on-a-programmable-chip (SOPC)的设计环境。
QuartusII design 提供完善的timing closure 和LogicLock™ 基于块的设计流程。
QuartusII design是唯一一个包括以timing closure 和基于块的设计流为基本特征的programmable logic device (PLD)的软件。
Quartus II 设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供FPGA与mask-programmed devices开发的统一工作流程Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera HardwareDescription Language)等多种设计输入形式,嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。
具有运行速度快,界面统一,功能集中,易学易用等特点。
Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。
16选1选择器---数字逻辑课程设计
课程设计报告课程名称数字逻辑课程设计课题任务一16选1选择器设计课题任务二JK触发器的设计专业网络工程班级1001学号06姓名陈国栋指导教师刘洞波陈华光陈多2011年12月31日课程设计任务书课程名称数字逻辑课程设计课题任务一16选1选择器设计课题任务二JK触发器的设计专业班级网络工程学生姓名学号指导老师审批任务书下达日期:2011年12月14日任务完成日期:2011年12月31日前言Quartus® II design 是最高级和复杂的,用于system-on-a-programmable-chip (SOPC)的设计环境。
QuartusII design 提供完善的timing closure 和LogicLock™ 基于块的设计流程。
QuartusII design是唯一一个包括以timing closure 和基于块的设计流为基本特征的programmable logic device (PLD)的软件。
Quartus II 设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供FPGA与mask-programmed devices开发的统一工作流程Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。
具有运行速度快,界面统一,功能集中,易学易用等特点。
Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。
EDA实验报告含结果图
EDA电子课程实验报告专业:班级:姓名:学号:实验一四人表决器一实验目的1、熟悉Quartus II软件的使用。
2、熟悉EDA-IV实验箱。
3、熟悉EDA开发的基本流程。
二硬件需求1、RC-EDA-IV型实验箱一台;2、RC-EDA-IV型实验箱配套USB-Blaster下载器一个;3、PC机一台。
三实验原理所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。
四人表决器顾名思义就是由四个人来投票,当同意的票数大于或者等于3人时,则认为同意;反之,当否决的票数大于或者等于2人时,则认为不同意。
实验中用4个拨挡开关来表示4个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。
表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。
四实验内容VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--------------------------------------------------------------------entity EXP3 isport(k1,K2,K3,K4 : in std_logic;ledag : out std_logic_vector(3 downto 0);m_Result : out std_logic);end EXP3;--------------------------------------------------------------------architecture behave of EXP3 issignal K_Num : std_logic_vector(2 downto 0); signal K1_Num,K2_Num: std_logic_vector(2 downto 0); signal K3_Num,K4_Num: std_logic_vector(2 downto 0);beginprocess(K1,K2,K3,K4)beginK1_Num<='0'&'0'&K1;K2_Num<='0'&'0'&K2;K3_Num<='0'&'0'&K3;K4_Num<='0'&'0'&K4;end process;process(K1_Num,K2_Num,K3_Num,K4_Num,)beginK_Num<=K1_Num+K2_Num+K3_Num+K4_Num;end process;process(K_Num) beginif(K_Num>2) thenm_Result<='1';elsem_Result<='0';end if;end process;end behave;实验电路实验二格雷码转换一实验目的1、了解格雷码变换的原理。
eda多路选择器实验
新乡学院实验报告实验结果分析及讨论1.实验中出现过的问题或错误、原因分析在做实验的过程中,仿真波形出现了一些小倒刺。
出现原因是由于选择的芯片的处理能力不够,可以选择换一个强大的芯片。
2.保证实验成功的关键问题程序书写规范,芯片选择尽量选择大一点的芯片。
对实验自我评价在本次实验中虽然出现了一些问题,但是在老师和同学的帮助下都成功的得到解决。
在本次实验中我对于半加器的了解更加深刻,对程序的书写更加规范。
教师评阅1. 学生实验动手能力(20分):□优秀(20~18) □较好(17~15) □合格(14~12) □不合格(11~0)2. 实验报告内容(共60分)(1)实验目的、材料、原理、内容及步骤记录(20分):□正确、清晰、重点突出(20~18) □较正确、较清晰(17~15)□有少数错误(14~12) □有较多错误(11~0)(2)实验数据(现象)及结果记录、处理(20分):□清晰、正确(20~18) □较清晰、较正确(17~15) □合格(14~12) □不合格(11~0)(3)实验结果分析及讨论(20分):□结果详实、结论清晰、讨论合理(20~18) □结果正确、讨论适当(17~15)□合格(14~12) □不合格(11~0)3. 学生遵循实验室规定及实验要求程度(20分):□好(20~18) □较好(17~15) □合格(14~12) □不合格(11~0)4. 其它意见:教师签名:年月日课程名称Eda技术实验成绩实验名称多路选择器设计实验专业电气工程及其自动化年级/班级学号实验地点A14-212 实验日期2022.4.15 姓名实验类型□演示性□验证性□综合性 设计性指导教师实验目的(1)熟悉quartus‖的另一种VHDL文本设计方法(2)熟悉case语句不同的赋值结果,并观察RTL图(3)学会VHDL程序与电路原理图之间的转换实验仪器及耗材(1)quartus‖软件(2)计算机实验原理4选1多路选择器的电路模型如下图所示,选通控制端有4个输入:s0.s1.s2.s3。
EDA课程设计实验报告
《EDA课程设计》报告学号:姓名:班级:指导教师:2013年6 月24日- 2013年7 月5日目录1.引言 ............................................................................................................... 错误!未定义书签。
2.原理图的设计................................................................................................ 错误!未定义书签。
文件的新建............................................................................................... 错误!未定义书签。
原理图文件XX .Sch的建立 ..................................................................... 错误!未定义书签。
元件库的导入........................................................................................... 错误!未定义书签。
原理图中各元件的布局和连线 (3)3.原理图新元件的设计 (4)元件库XX .Lib文件的建立 (4)原理图元件的画法................................................................................... 错误!未定义书签。
注意事项................................................................................................... 错误!未定义书签。
16选1多多路数据选择器设计
EDA实验报告课程名称EDA 实验项目16选1多多路数据选择器设计物电学院班姓名同组实验者指导老师1.实验目的1.掌握组合逻辑电路的设计方法;2.熟悉并行信号赋值语句;2. 实验条件1.输入:拨码开关;2.输去:发光二极管;芯片:epm7128slc84-15;3. 实验内容1.设计并实现16选1数据选择;4.实验原理EN=1时,关闭导通。
EN=0时,工作正常。
2.VHDL程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY AAA ISPORT(SEL:IN STD_LOGIC_VECTOR(3 DOWNTO 0); DATA:IN STD_LOGIC_VECTOR(15 DOWNTO 0); EN:IN STD_LOGIC;OUTPUT:OUT STD_LOGIC);END ENTITY AAA;ARCHITECTURE MIH OF AAA ISSIGNAL OUTTEN:STD_LOGIC;BEGINOUTTEN<=DATA(0) WHEN SEL="0000" ELSEDATA(1) WHEN SEL="0001" ELSEDATA(2) WHEN SEL="0010" ELSEDATA(3) WHEN SEL="0011" ELSEDATA(4) WHEN SEL="0100" ELSEDATA(5) WHEN SEL="0101" ELSEDATA(6) WHEN SEL="0110" ELSEDATA(7) WHEN SEL="0111" ELSEDATA(8) WHEN SEL="1000" ELSEDATA(9) WHEN SEL="1001" ELSEDATA(10) WHEN SEL="1010" ELSEDATA(11) WHEN SEL="1011" ELSEDATA(12) WHEN SEL="1100" ELSEDATA(13) WHEN SEL="1101" ELSEDATA(14) WHEN SEL="1110" ELSEDATA(15) WHEN SEL="1111" ELSE'0';WITH EN SELECTOUTPUT<=OUTTEN WHEN '0','Z' WHEN OTHERS;END ARCHITECTURE MIH;5 .实验结果1.锁定管脚2.仿真结果。
eda课程设计实验报告
eda课程设计实验报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用所学知识,设计并实现基本的数字电路。
3. 学生了解数字电路的设计流程,掌握设计规范,具备初步的电路分析能力。
技能目标:1. 学生能独立操作EDA软件,完成电路的原理图绘制、仿真和布局布线。
2. 学生通过实验报告的撰写,提高实验数据分析、总结归纳的能力。
3. 学生在小组合作中,提高沟通协调能力和团队协作能力。
情感态度价值观目标:1. 学生培养对电子科学的兴趣,激发创新意识,增强实践能力。
2. 学生在实验过程中,形成严谨的科学态度,提高问题解决能力。
3. 学生通过课程学习,认识到科技发展对国家和社会的重要性,增强社会责任感。
课程性质:本课程为实践性较强的电子设计课程,旨在培养学生的实际操作能力、创新意识和团队合作精神。
学生特点:六年级学生具有一定的电子知识基础,好奇心强,喜欢动手实践,但需加强对理论知识的理解和应用。
教学要求:结合学生特点,注重理论与实践相结合,充分调动学生的积极性,提高学生的实践能力和创新能力。
将课程目标分解为具体的学习成果,便于教学设计和评估。
二、教学内容根据课程目标,本章节教学内容主要包括以下几部分:1. EDA基本概念与工具介绍- 电子设计自动化原理简介- 常用EDA软件功能与操作方法2. 数字电路设计基础- 数字电路基本元件及功能- 原理图绘制与仿真分析3. 布局布线与PCB设计- PCB设计流程与方法- 布局布线技巧与规范4. 实验报告撰写- 实验数据整理与分析- 实验总结与反思教学大纲安排如下:第一周:- EDA基本概念与工具介绍- 数字电路基本元件及功能第二周:- 原理图绘制与仿真分析第三周:- 布局布线与PCB设计第四周:- 实验报告撰写教学内容与教材关联性:本教学内容与教材《电子技术基础与实践》第六章“电子设计自动化”相关章节紧密相连,确保了教学内容的科学性和系统性。
十六选一数据选择器
《组合逻辑电路的分析与设计》十六选一数据选择器院系:电子与信息工程学院十六选一选择器一、实验目的1、熟悉中规模集成数据选择器的逻辑功能及测试方法。
2、学习用集成数据选择器进行逻辑设计。
二、实验仪器及材料1、数字电路实验箱。
2、数字万用表。
3、数据选择器74LS151两片。
4、导线。
三、实验原理1、8选1数据选择器74LS151的简介74LS151为互补输出的8选1数据选择器,引脚排列如下图,功能见表。
选择控制端〔地址端〕为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,S为使能端,低电平有效。
〔1〕使能端S=1时,不管C~A状态如何,均无输出〔Y=0,S=1〕,多路开关被禁止。
〔2〕使能端S=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。
如:CBA=000,则选择D0数据到输出端,即Y=D0。
如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。
2、74LS151的引脚图如下列图〔一〕所示:图〔一〕3、74LS151的功能表如下表〔一〕所示:74LS151功能表:表〔一〕4、数据选择器数据选择器〔multiplexer〕又称为多路开关,是一种重要的组合逻辑部件,它可以实现从多路数据传输中选择任何一路信号输出,选择的控制由专列的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、并串转换器、波形产生器等。
5、四选一数据选择器电路如下列图图〔一〕图〔一〕6、三个地址输入端A2、A1、A0,八个数据输入端D0~D7,如下列图图〔二〕图〔二〕7、实验时连接图如下列图图〔三〕:图〔三〕五、实验内容1、部分实验图片如下列图图〔四〕和图〔五〕所示图〔四〕图〔五〕六、实验结论输入输出S A B C Y0 0 0 0 D0 10 0 0 1 D1 10 0 1 0 D2 00 1 1 1 D3 00 1 0 0 D4 10 1 0 1 D5 10 1 1 0 D6 00 1 1 1 D7 01 0 0 0 D0 11 0 0 1 D1 11 0 1 0 D2 01 1 1 1 D3 01 1 0 0 D4 01 1 0 1 D5 11 1 1 0 D6 01 1 1 1 D7 0七、实验心得通过本次实验,使我更加清楚74LS151的使用特点和方法,以及电路连接和诸多电路的实际操作。
新版数字电路实验报告——数据选择器课件.doc
数电实验二姓名:李可/ 徐军学号:pb9210132 / pb09210134 组别:5实验题目:数据选择器实验目的:了解数据选择器的工作原理;熟悉数据选择器的引脚及其作用;熟悉数据选择器的工作过程以及学习简单的数据选择器的应用。
实验内容:1 :利用两片八选一的数据选择器设计一个十六选一的数据选择器;实现Y1=m(1,2,4,5)Y2=(9,10,12)2:利用十六选一数据选择器设计一个选择器使得输出Y=Y1+Y2=m(6,7,8,11,13)3:利用八选一数据选择器设计一个红绿灯指示灯,区别红绿灯是否正常。
实验原理:在数字信号的传输过程中,又是需要从一组输入数据中选出某一个来,这时候就需要用到一种称为数据选择器或多路开关的逻辑电路。
以双四选一数据选择器74HC153 为例说明它的工作原理:当A0 和A1 的状态确定以后,D10~D13S1 A1 A0 当中只有一个可以通过两级导通的传输门D10D11 Y到达输出端。
输出地逻辑式可以写为:D12D13Y= (D10(A1 ’A0 ’)+D10(A1 ’A0)+74HC153D12(A1A0 ’)+D13(A1A0) )*S1同时,上式也表明S’=0 时数据选择器工作,S‘=1 时数据选择器被禁止工作,输出被封锁为低电平。
其它的数据选择器的工作原理与上述类似。
由简单的数据选择器可以设计多输入的数据选择器。
实验内容:(1 ):十六选一数据选择器的简单验证:实验简单的电路图:A0A1A2A2 A1 A0A3S1D0D1+5V Y1D2 YD3D4D5D6D7D8D9Y2D10D11D12D13D14D15实验所得数据:A3 A2 A1 A1 Y2 Y10 0 0 0 0 00 0 0 1 0 10 0 1 0 0 10 0 1 1 0 00 1 0 0 0 10 1 0 1 0 10 1 1 0 0 00 1 1 1 0 01 0 0 0 0 01 0 0 1 1 01 0 1 0 1 01 0 1 1 0 01 1 0 0 1 01 1 0 1 0 01 1 1 0 0 01 1 1 1 0 0由以上真值表可以得知:Y1=m(1,2,4,5)Y2=(9,10,12)实验总结:本实验由两个八选一数据选择器构成一个十六选一数据选择器;原理为:当A3 为0 时第一片导通,第二片截止,输出数据为前八位;当A3 为1 时第一片截止,第二片导通,输出数据为后八位。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计报告课程名称数字逻辑课程设计课题任务一16选1选择器设计课题任务二JK触发器的设计专业班级学号姓名指导教师2013-12-8课程设计任务书课程名称数字逻辑课程设计课题任务一16选1选择器设计课题任务二JK触发器的设计专业班级网络工程学生姓名学号指导老师审批任务书下达日期:2011年12月14日任务完成日期:2011年12月31日前言Quartus® II design 是最高级和复杂的,用于system-on-a-programmable-chip (SOPC)的设计环境。
QuartusII design 提供完善的timing closure 和LogicLock™ 基于块的设计流程。
QuartusII design是唯一一个包括以timing closure 和基于块的设计流为基本特征的programmable logic device (PLD)的软件。
Quartus II 设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供FPGA与mask-programmed devices开发的统一工作流程Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。
具有运行速度快,界面统一,功能集中,易学易用等特点。
Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。
对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。
此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。
目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。
Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。
Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。
Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。
该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。
Quartus平台与Cadence、ExemplarLogic、MentorGraphics、Synopsys和Synplicity等EDA 供应商的开发工具相兼容。
改进了软件的LogicLock模块设计功能,增添了FastFit 编译选项,推进了网络编辑性能,而且提升了调试能力。
支持MAX7000/MAX3000等乘积项器件一、设计内容:本课程是一门专业实践课程,学生必修的课程。
其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成2个设计题目的设计、仿真与测试。
加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。
题目:16选1选择器电路设计;JK触发器的设计;二、实验目的:(1)掌握基本逻辑电路的设计原理及其设计方法。
(2)熟悉CPLD 应用设计及EDA 软件的使用。
(3) 学会使用计算机组成实验箱中的可编程逻辑器件。
三、设计要求:课程设计报告规范课程设计报告应包含如下几个部分1)功能描述说明设计器件的功能,包括真值表(功能表),函数表达式,逻辑电路图2)详细设计按照VHDL语言开发流程写出整个开发过程,可以根据如下步骤适当导出程序,程序界面截图到课程设计报告对应模块。
3)调试分析以及设计体会a.仿真或程序下载调试(附界面截图)。
b.设计过程中遇到的问题以及解决问题的方法。
c.课程设计过程经验教训、心得体会。
4) 书写格式见附带说明。
4)附录a.参考书目b.源程序清单(带注释)●考核方式指导老师负责验收程序的运行结果,并结合学生的工作态度、实际动手能力、创新精神和设计报告等进行综合考评,并按优秀、良好、中等、及格和不及格五个等级给出每位同学的课程设计成绩。
具体考核标准包含以下几个部分:1)平时出勤(占10%)2)系统需求分析、功能设计、数据结构设计及程序总体结构合理与否(占10%)3)程序能否完整、准确地运行,个人能否独立、熟练地调试程序(占40%)4)设计报告(占30%)5)注意:不得抄袭他人的报告(或给他人抄袭),一旦发现,成绩为零分。
6)独立完成情况(占10%)。
●课程设计验收要求1)运行所设计的系统。
2)回答有关问题。
3)提交课程设计报告纸质稿。
4)提交源程序或设计报告文档电子稿。
5)依内容的创新程度,完善程序情况及对程序讲解情况打分。
四、进度安排上机时间:十六周周四14:00-18:00十七周周二8:00-12:00、14:00-18:00十七周周四8:00-12:00十七周周五8:00-12:00十八周周二14:00-18:00(交报告)附带说明:课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(程序清单)。
正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。
正文的内容:一、课题的主要功能;二、详细设计;三、程序调试;四、总结;五、附件(所有程序的原代码,要求对程序写出必要的注释)。
2个任务独立完成对应报告,共用封面、封底,装订成一册。
正文总字数要求在5000字以上(不含程序原代码)。
目录一、16选1选择器的功能 (1)1.1函数真值表 (1)1.2函数电路图 (2)1.3函数表达式 (3)二、详细设计 (3)2.1创建项目 (3)2.2VHDL文本设计语言输入 (4)2.3编译功能界面 (5)2.4编译成功 (5)2. 5打开波形编辑器窗口 (6)2. 6对应结点查找 (6)2. 7综合编译形成网表 (7)三、程序功能调试 (7)3.1进入波形仿真功能 (7)3.2给定输入信号 (8)3.3进行时序仿真 (8)3.4生成波形图 (9)四、心得体会 (9)------------------------------------------------------------------ 一、JK触发器的主要功能 (10)1.1特性方程 (10)1.2真植表 (10)1.3函数逻辑电路图 (11)二、详细设计 (11)2.1创建项目 (11)2.2输入文本语言程序进行编译 (12)2.3编译成功,选择波形编辑器功能 (13)2.4进行仿真设置 (14)2.5查找对应结点 (14)2.6形成综合后网表 (14)三、程序功能调试 (15)3.1给定输入 (15)3.2进入波形仿真 (15)3.3形成仿真波形 (16)四、心得体会 (17)五、附录 (18)5.1 116选1选择器设计源代码 (18)5.2 JK触发器设计源代码 (20)5.3 参考书目 (23)5.4 课程设计评分表 (24)一、16选1选择器的主要功能数据选择器是常用的组合逻辑部件之一。
它由组合逻辑电路对数字信号进行控制来完成比较复杂的逻辑功能。
它有若干个数据输入端D0、D1、....,若干个控制输入端A0、A1,......和一个输出端Y0。
数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。
它的功能相当于一个多个输入的单刀多掷开关.因此数据选择器又称多路转换器或多路开关。
数据选择器(MUX)的逻辑功能是在控制输入端加上适当的信号,既可从多个输入数据源中讲所需的数据信号选择出来,送到输出端。
1.1函数真值表输入输出A B C D E0 0 0 0E0 0 0 1E10 0 1 0E20 0 1 1E30 1 0 0E40 1 0 1E50 1 1 0E60 1 1 1E71 0 0 0E81 0 0 1E91 0 1 0E101 1 0 0E111 0 1 1E121 1 0 1E131 1 1 0E1411 1 1 1E15说明:A,B,C,D为输入地址;E为输出端1.2函数电路图1.3函数表达式E=0E D C B A +1DE C B A +32CDE B A E D C B A ++++54DE C B A E D C B A 6E D BC A+10987E D C B A DE C B A E D C B A BCDE A ++++14131211E D ABC DE C AB CDE B A E D C AB ++++15ABCDE二、详细设计2.1工程管理,进入quartus||界面,创建项目2.2VHDL文本语言设计输入2.3编译功能界面2.4编译成功2.5打开波形编辑器窗口2.6对应结点查找2.7综合编译,形成综合编译后网表三、程序功能调试3.1进入波形仿真功能3.2给定输入信号3.3进行时序仿真3.4形成波形图四、心得体会总结这次数字逻辑课程设计历经两个星期,从得知课设题目,知道我们寝室有三个人是做一个题目,然后我们三个决定互相合作,查阅资料,到研究出总体设计,详细设计,然后编写程序,再到最后的上机调试,修改程序,完善程序,收获颇多。
让我懂得了与队友的合作更是一件快乐的事情,只有彼此都付出,彼此都努力维护才能将作品做的更加完美。
而团队合作也是当今社会最提倡的。
曾经听过,MBA之所以最近不受欢迎就是因为欠缺团队合作的精神和技巧通过我们两个星期的的努力16选1选择器的设计已全部完成,能够完成预期的功能,在本课题的设计中体现了VHDL覆盖面广,描述能力强,是一个多层次的硬件描述语言及PLD器件速度快,使用方便,便于修改等特点。