交通灯控制器设计(课题设计)
交通灯控制器的课程设计
课程设计课题:交通灯控制器的设计一、设计目的:学习QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。
在现代化的大城市中, 十字交叉路口越来越多,在每个交叉路口都需要使用红绿灯进行交通指挥和管理,红、黄、绿灯的转换要有一个准确的时间间隔和转换顺序,这就需要有一个安全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。
二、设计任务:1.满足如下时序要求:南北方向红灯亮时,东西方向绿灯亮,反之亦然。
2.每一方向的红(绿)黄灯共维持30秒。
3.当某一方向绿灯亮时,置显示器为30秒,然后以每秒减1计数方式工作,直至减到数为3秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒,减到为0,红绿灯交换,一次工作循环结束,进入下一步另一方向的工作循环。
4.红绿黄灯均采用发光二极管。
5.设计由晶振电路产生1Hz标准秒信号的单元电路。
6.要求对整体电路进行仿真,观察并记录下仿真波形。
三、设计原理:交通灯有四个状态:G1 Y1 R1 G2 Y2 R2S1. 亮灭灭灭灭亮S2. 灭闪灭灭灭亮S3. 灭灭亮亮灭灭S4. 灭灭亮灭闪灭然后重复状态S1.●分频器分频器实现的是将高频时钟信号转换成底频的时钟信号,用于触发控制器、计数器和扫描显示电路。
该分频器将时钟信号分频成1HZ和4HZ的时钟信号。
●控制器控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段数码管的分位译码电路。
此外,当检测到为夜间模式时,手动控制点亮黄灯的二极管。
●计数器这里需要的计数器的计数范围为30-0。
计到0后,下一个时钟沿回复到30,开始下一轮计数。
此外,当检测到夜间模式时,计数器暂停计数,而系统复位信号使计数器异步清零。
四、电路设计1、分频单元电路设计2、30减计数单元电路设计3、红黄绿灯控制单元电路设计4、译码显示单元电路设计●设计流程五、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jiaotong isport(clk,clr:in std_logic;clk1,clk2,clk3:buffer std_logic; ---分频信号pout:out std_logic_vector(6 downto 1);---东西南北的红绿黄灯状态表示C1:out std_logic_vector(3 downto 0);---BCD码高四位表示C0:out std_logic_vector(3 downto 0));---BCD码低四位表示end jiaotong;architecture one of jiaotong issignal g1,y1,r1,g2,y2,r2:STD_LOGIC;---1表示东西方向,2表示南北方向,g,y,r分别表示绿灯,黄灯,红灯signal div:integer range 0 to 20000000; ---分频1signal divn:integer range 0 to 4000000; ---分频2,用于控制黄灯闪烁type st is(s1,s2,s3,s4);---分别表示红绿黄灯的四种组合状态signal state:st;beginC:process(clk)beginif clk'event and clk='1' then---对20HZ进行分频,1HZif(div<19999999)thendiv<=div+1;clk1<='0';else div<=0; clk1<='1';end if;if(divn<2499999)then ---用于黄灯闪烁divn<=divn+1;clk2<='0';else divn<=0; clk2<='1';end if;end if;end process;process (clk1) isvariable t:integer :=31; ---初始赋值beginif clr='1' then ---夜间时为黄灯闪烁,且为手动控制pout(6)<='0'; pout(5)<=clk2 and '1'; pout(4)<='0';pout(3)<='0'; pout(2)<=clk2 and '1'; pout(1)<='0';else if(clk1'event and clk1='1') thent:=t-1;case state is ---四种组合状态转换when s1 =>G1<='1'; Y1<='0'; R1<='0'; G2<='0';Y2<='0';R2<='1'; if(t=3) then state<=s2;else state<=s1;end if;when s2 =>G1<='0';Y1<='1';R1<='0';G2<='0';Y2<='0';R2<='1';if(t=0) then state<=s3;t:=30;else state<=s2;end if;when s3 =>G1<='0';Y1<='0';R1<='1';G2<='1';Y2<='0'; R2<='0';if(t=3) then state<=s4;else state<=s3;end if;when s4 =>G1<='0';Y1<='0';R1<='1';G2<='0';Y2<='1';R2<='0';if(t=0) then state<=s1;t:=30;else state<=s4;end if;when others =>NULL;end case;case t is ---十进制数与BCD码一一对应赋值,输出时便于七段显示译码器显示when 0 => C1<="0000";C0<="0000";when 1 => C1<="0000";C0<="0001";when 2 => C1<="0000";C0<="0010";when 3 => C1<="0000";C0<="0011";when 4 => C1<="0000";C0<="0100";when 5 => C1<="0000";C0<="0101";when 6 => C1<="0000";C0<="0110";when 7 => C1<="0000";C0<="0111";when 8 => C1<="0000";C0<="1000";when 9 => C1<="0000";C0<="1001";when 10=> C1<="0001";C0<="0000";when 11=> C1<="0001";C0<="0001";when 12=> C1<="0001";C0<="0010";when 13=> C1<="0001";C0<="0011";when 14=> C1<="0001";C0<="0100";when 15=> C1<="0001";C0<="0101";when 16 =>C1<="0001";C0<="0110";when 17 =>C1<="0001";C0<="0111";when 18 =>C1<="0001";C0<="1000";when 19 =>C1<="0001";C0<="1001";when 20 =>C1<="0010";C0<="0000";when 21 =>C1<="0010";C0<="0001";when 22 =>C1<="0010";C0<="0010";when 23 =>C1<="0010";C0<="0011";when 24 =>C1<="0010";C0<="0100";when 25 =>C1<="0010";C0<="0101";when 26 =>C1<="0010";C0<="0110";when 27 =>C1<="0010";C0<="0111";when 28 =>C1<="0010";C0<="1000";when 29 =>C1<="0010";C0<="1001";when 30 =>C1<="0011";C0<="0000";when others =>NULL;end case;end if;pout(6)<=G1; pout(5)<=clk2 and Y1;pout(4)<=R1; ---东西南北六盏灯对应pout(3)<=G2; pout(2)<=clk2 and Y2;pout(1)<=R2; end if;end process ;end one;六、测试方法与测试结果1、测试仪器:QUARTUSⅡ2、测试方法:FPGA下载验证与仿真验证3、测试结果:满足设计要求以20HZ为基准仿真:仿真结果:C1:显示30减计数的个位C0:显示30减计数的十位POUT(6):东西方向绿灯控制端POUT(5):东西方向黄灯控制端POUT(4):东西方向红灯控制端POUT(3):南北方向绿灯控制端POUT(2):南北方向黄灯控制端POUT(1):南北方向红灯控制端白天●夜间黄灯闪烁(手动控制)●设计满足了1.30秒倒数显示2.两个方向灯的交替3.黄灯在最后3秒闪烁七、讨论该电路基本上满足了设计要求,电路简单,实现容易,节省器件。
课程设计---交通信号灯控制器
课程设计课程名称数字电子技术基础课题名称交通信号灯控制器专业应用物理班级学号课程设计任务书课程名称:数字电子技术题目:交通信号灯控制器专业班级:应用物理0801学生姓名:学号:指导老师:审批:任务书下达日期2011年6月06日星期一设计完成日期2011年6月17日星期五目录一、总体设计 (1)1.基本原理与设计思路 (1)2.总电路图 (3)二、单元电路分析 (4)1.用74LS160计数器构成5、21进制计数器 (4)2.D型锁存器构成控制电路 (6)三、故障分析与电路改进 (8)四、调试体会与总结 (9)五、附录 (10)1.元件器件清单 (10)2.课程设计成绩评分表 (11)一、总体设计1.基本原理与设计思路图1 交通控制灯电路设计& 如图1所示为交通控制电路设计方案图,根据概述中的设计思想及方法来实现下图(图2)的交通指示灯状态转换图中描述的指示灯的转换及每种状态维持的时间(用数码显示管来显示)。
南北向(主干道)绿灯亮时,东西向(支干道)红灯亮。
此时南北向上的车辆允许通行,东西向禁止通行。
绿灯亮足规定时间TL后,控制器发出状态转换信号ST,转到下一工作状态。
& 南北向(主干道)黄灯亮时,东西向(支干道)红灯亮。
此时东西向上的车辆禁止通行,南北向上已过停车线的车辆允许通行,未过停车线的车辆禁止通行。
黄灯亮足规定时间TY后,控制器发出状态转换信号ST ,转到下一工作状态。
& 南北向(主干道)红灯亮时,东西向(支干道)绿灯亮。
支干道上的车辆允许通行;绿灯亮足规定时间TL 后,控制器发出状态转换信号ST ,转到下一工作状态。
&南北向(主干道)红灯亮时,东西向(支干道)黄灯亮。
此时主干道上的车辆禁止通行,此时支干道上已过停车线的车辆允许通行,未过停车线的车辆禁止通行。
黄灯亮足规定时间TY 后,控制器发出状态转换信号ST ,转到第一种工作状态。
图2 交通指示灯状态转换图2.总电路图二、单元电路与分析1.用74LS160计数器构成5、21进制计数器图74LS160构成的5、21进制计数器计数器选用74LS160进行设计。
毕业设计-EDA技术交通灯控制器(课程设计)
课程设计报告课程设计:交通灯控制器一:实验目的:实现高速公路与乡间小路的交叉路口红绿灯的控制二:功能要求:1.只有在小路上发现汽车时,高速公路上的交通灯才可能变为红灯。
2.当汽车行驶在小路上时、小路的交通灯保持为绿灯,但不能超过给定的延迟时间.〔注;这段时间定义为20S时间)。
3.高速公路灯转为绿灯后,即使小路上有汽车出现,而高速公路上并无汽车,也将在给定的时间内保持高速公路绿灯(注:这段时间定义为60S)。
三:设计思路:五:VHDL源程序:LIBRARY ieee;USE ieee.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY TrafficLight ISPORT (Clk : IN STD_LOGIC;S,Reset : IN STD_LOGIC;mg,my,mr,cg,cy,cr : OUT STD_LOGIC );END TrafficLight ;ARCHITECTURE rtl OF TrafficLight ISTYPE TrafficState IS (mgcr, mycr, mrcg, mrcy);SIGNAL current_state,next_state : TrafficState ;SIGNAL Count : STD_LOGIC_VECTOR(5 DOWNTO 0);SIGNAL Clrn : STD_LOGIC ;BEGINCounter : PROCESS(clk)BEGINIF Reset = '1' OR (Clrn = '1' AND NOT(Count = "000000")) THEN Count <= "000000";ELSIF clk'EVENT AND clk = '1' THENIF NOT(s='0' AND current_state = mgcr) THEN Count <= Count + 1;END IF;END IF;END PROCESS Counter;StateTransition : PROCESS(clk,Count, current_state)BEGINIF Reset = '1' THEN next_state <= mgcr;Clrn <= '0';ELSIF clk'EVENT AND clk = '1' THENCASE current_state ISWHEN mgcr => mr<='0';mg<='1';my<='0';cr<='1';cg<='0';cy<='0';IF Count >= "111011" AND S = '1' THEN next_state <= mycr;Clrn <= '1';ELSE Clrn <= '0';END IF;WHEN mycr => mr<='0';mg<='0';my<='1';cr<='1';cg<='0';cy<='0';IF Count >= "000100" THEN next_state <= mrcg;Clrn <= '1';ELSE Clrn <= '0';END IF;WHEN mrcg => mr<='1';mg<='0';my<='0';cr<='0';cg<='1';cy<='0';IF Count >= "010011" OR S = '0' THEN next_state <= mrcy;Clrn <= '1';ELSE Clrn <= '0';END IF;WHEN mrcy => mr<='1';mg<='0';my<='0';cr<='0';cg<='0';cy<='1';IF Count >= "000100" THEN next_state <= mgcr;Clrn <= '1';ELSE Clrn <= '0';END IF;WHEN OTHERS => NULL;END CASE;END IF;END PROCESS StateTransition;PROCESS(clk)BEGINIF clk'EVENT AND clk='1' THENcurrent_state <= next_state;END IF;END PROCESS;END rtl;仿真图如下:六:实验心得:通过本次课程设计,使用进一步熟悉了MAX-PLUSLL这种EDA软件工具。
交通灯控制器的设计与实现
交通灯控制器的设计与实现一、实验目的1.了解交通灯管理的基本工作原理。
2.熟悉8253计数器/定时器、8259A中断控制器和8255A并行接口的工作方式及应用编程。
3.掌握多位LED显示的方法。
二、实验内容与要求设计一个用于十字路口的交通灯控制器。
1.基本要求:1)东西和南北方向各有一组红,黄,绿灯用于指挥交通,红,黄,绿的持续时间分别为25s,5s,20s。
2)当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。
3)一组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。
2.提高部分:1) 实时修改交通灯的持续时间。
2) 根据不同时段对主要交通方向的信号进行调整。
3) 可以使用LCD显示提示信息。
三、实验报告要求1.设计目的和内容2.总体设计3.硬件设计:原理图(接线图)及简要说明4.软件设计框图及程序清单5.设计结果和体会(包括遇到的问题及解决的方法)四、总体设计交通灯的工作过程如下:设十字路口的1、3为南,北方向,2、4为东西方向,初始态为4个路口的红灯全亮。
之后,1、3路口的绿灯亮,2、4路口的红灯亮,1、3路口方向通车,2个路口的LED数码管开始倒计时25秒。
延迟20秒后,1、3路口的绿灯熄灭,而1,3路口的黄灯开始闪烁(1HZ)。
闪烁5次后,1、3路口的红灯亮,同时2、4路口的绿灯亮,2、4路口方向开始通车,2个路口的LED数码管重新开始倒计时25秒。
延迟20秒时间后,2、4路口的绿灯熄灭,而黄灯开始闪烁。
闪烁5次后,再切换到1、3路口方向。
之后,重复上述过程。
当有紧急情况时,2个方向都红灯亮,倒计时停止,车辆禁止通行,当紧急情况结束后,控制器恢复以前的状态继续工作。
在设计中采用6个发光二极管来模拟2个路口的黄红绿灯,每个路口用2个数码管来显示通行或禁止剩余的时间。
紧急情况用一个单脉冲发生单元申请中断来模拟,紧急情况结束后,再发一个中断来恢复以前的状态。
交通灯控制器课程设计
目录
1 设计任务及要求 2 总体设计方案 3 控制电路设计
3.1 控制电路工作原理 3.2控制电路设计过程
4 倒计时电路设计
4.1具有同步置数功能的十进制减法计数器设计 4.2主干道和支干道倒计时电路设计
5 译码显示电路设计
5.1动态显示工作原理 5.2动态显示及译码电路设计
共阴极数码管:将每个数码管的公共端(阴极)分别接三-八译码器的输出, 三-八译码器的输入为位选信号;将多个数码管的相同段接在一起,作为段码 输入端。
七段译码
报告要求
CONTENTS
01 封面
02 目录:四号 宋体
03
正文:小四 宋体 1.5 倍行距
04 参考文献 :五号 宋体
一号 宋体
四号 宋体
由具有同步置数功能的十进制减法计数器实现。
将2片级联实现2位十进制减法计数器。
当主干道或者支干道减法计数器值为01时,产生同步置数信 号,将下一状态计数初值置入。
状态
S0
00
S1
01
S2
10
S3
11
主干道预置数
D7D6 D5D4
D3D2 D1D0
0000
0101
0101
0000
0000
0101
0110
○ 黄灯每秒闪亮一次。
总体方案
时钟
红绿 灯
控制器
交通灯控 制器的功 能框图
倒计时 计数器
数码 显示扫描
管
、译码
设主干道绿灯、黄灯、红灯分别为G1、Y1、R1;支 干道绿灯、黄灯、红灯分别为G2、Y2、R2,并且 均用0表示灭,1表示亮,则交通灯有如下四种输出 状态:
状态
交通灯控制器数电课程设计
交通灯控制器数电课程设计交通灯控制器是一个常见的数电课程设计项目,下面是一个简单的交通灯控制器的设计方案:1. 需求分析:- 交通灯要能够按照规定的时间间隔不断切换状态。
- 交通灯的状态包括红灯、黄灯和绿灯,分别对应停止、警告和通行状态。
- 红灯、黄灯和绿灯的时间间隔可以根据实际需要进行调整。
2. 设计方案:- 使用数字时钟芯片,如NE555,来生成固定频率的时钟信号。
- 使用多路选择器,如74LS151,来选择不同的灯的状态输出。
- 使用逻辑门电路,如与门和或门,来实现灯的状态切换。
3. 设计步骤:- 使用时钟芯片来产生一个频率为1Hz的时钟信号。
- 使用分频器电路,如74LS90,将时钟信号的频率分为三等份,分别用于控制红灯、黄灯和绿灯的持续时间。
- 使用多路选择器74LS151,根据时钟信号的状态与分频器的控制信号,选择对应的灯输出高电平或低电平。
- 使用逻辑门电路,通过组合逻辑将时钟信号和选择器输出的灯状态进行控制,实现交通灯的状态切换。
4. 硬件设计:- 使用电路实验板、面包板或PCB板等硬件平台进行电路连接。
- 导入时钟芯片、分频器、多路选择器和逻辑门等器件。
- 连接器件之间的引脚,构建交通灯控制器电路。
5. 软件设计:- 使用VHDL、Verilog或其他HDL语言进行交通灯控制器的逻辑设计和仿真。
- 根据交通灯的时序要求设置时钟频率、分频器的初始状态和选择器的状态等参数。
- 通过仿真软件进行功能验证和时序分析,优化电路设计。
6. 实现与调试:- 将硬件连接完成后,使用示波器、逻辑分析仪等仪器对电路进行调试。
- 观察交通灯的状态是否按照预期进行切换。
- 根据实际需要调整各个灯的持续时间和时钟频率等参数,进行效果调试。
7. 总结:- 对交通灯控制器的设计进行总结和评估,包括可靠性、灵活性和可扩展性等方面。
- 提出改进方案,进一步优化交通灯控制器的设计。
注意事项:- 在设计过程中,要遵守相关的电路布线规范和安全操作规程。
交通灯控制器课程设计
交通灯控制器 课程设计一、课程目标知识目标:1. 理解交通灯控制器的基本原理,掌握其电路组成及功能。
2. 学习并掌握交通灯控制器中的基础电子元件及其工作原理。
3. 了解交通灯控制器的实际应用,理解其在交通安全中的作用。
技能目标:1. 能够运用所学知识设计简单的交通灯控制器电路。
2. 学会使用相关工具和仪器进行电路搭建和调试。
3. 提高分析问题和解决问题的能力,通过实践操作培养动手能力。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发他们探索科学的精神。
2. 增强学生的团队合作意识,培养沟通与协作能力。
3. 培养学生的安全意识,让他们明白遵守交通规则的重要性。
课程性质:本课程为电子技术实践课程,结合理论教学,注重培养学生的动手实践能力和创新思维。
学生特点:考虑到学生所在年级,已有一定的基础知识,具备初步的分析和解决问题的能力,对电子技术有一定的好奇心。
教学要求:在教学过程中,注重理论与实践相结合,鼓励学生积极参与讨论和实践活动,培养他们的自主学习能力和创新意识。
通过课程学习,使学生能够达到上述设定的具体学习成果。
二、教学内容1. 交通灯控制器原理- 红绿灯工作原理及切换逻辑- 时序控制器的基本概念- 电路图解读及元件功能分析2. 基础电子元件- 电阻、电容、二极管、三极管等元件的特性与应用- 传感器及其在交通灯控制器中的作用3. 交通灯控制器电路设计- 电路图的绘制与解读- 元件的选型与连接- 电路搭建及调试方法4. 实践操作- 搭建简单交通灯控制器电路- 编写控制程序,实现交通灯自动切换- 故障排查与电路优化5. 交通灯控制器应用案例- 实际交通场景中的交通灯控制器应用- 交通安全与节能减排的意义教学内容安排与进度:第一课时:交通灯控制器原理,红绿灯工作原理及切换逻辑第二课时:基础电子元件,电路图解读及元件功能分析第三课时:交通灯控制器电路设计,电路图的绘制与解读第四课时:实践操作,搭建简单交通灯控制器电路第五课时:编写控制程序,实现交通灯自动切换,故障排查与电路优化第六课时:交通灯控制器应用案例,讨论交通安全与节能减排的意义教材章节关联:本教学内容与教材中关于数字电路、电子元件、电路设计等相关章节紧密关联,通过本课程的学习,使学生能够将理论知识与实践相结合,提高综合运用能力。
交通灯控制器的设计(综合设计实验)
实验11 交通灯控制器的设计(综合设计实验)一、目的、任务……1.巩固和加深学生对电子电路基本知识的理解,提高他们综合运用本课程所学知识的能力。
2.培养学生根据实验需要选学参考书籍,查阅手册、图表和文献资料的自学能力。
通过独立思考,深入钻研有关问题,学会自己分析并解决问题的方法。
3.通过电路方案的分析、论证和比较,设计计算和选取元器件初步掌握复杂实用电路的分析方法和工程设计方法。
4.培养严肃、认真的工作作风和科学态度。
通过综合设计实验,帮助学生逐步建立正确的生产观点、经济观点和全局观点。
二、设计内容设计一个十字路口交通灯定时控制系统:①主、支干道交替通行,主道每次放行30秒,支道每次放行20秒。
②绿灯亮表示可以通行,红灯亮表示禁止通行。
③当绿灯变红灯时,黄灯先亮5秒,此时另一干道上的红灯不变。
④主、支路口要有数字显示,作为时间提示,以便人们更直观地把握时间。
具体要求主、支干道通行时间及黄灯亮的时间均以秒为单位作减计数。
⑤黄灯亮时,红灯按1HZ的频率闪烁。
⑥要求主、支干通道通行时间及黄灯亮的时间均可在0~99S内任意设定。
三、设计方案该交通灯定时控制系统的组成框图如图1所示。
由状态控制器、状态译码器、减法计数器、秒脉冲发生等组成。
状态控制器主要用于记录十字路口交通灯的工作状态,通过状态译码器分别点亮相应状态的信号灯。
秒信号发生器产生整个定时系统的时基脉冲,通过减法计数器实现减计数,控制每一种工作状态的持续时间。
减法计数器的回零脉冲使状态控制器完成状态转换,同时状态译码器根据系统下一个工作状态决定计数器下一次减计数的初始值。
减法计数器的状态由BCD译码器译码、数码管显示。
在黄灯亮期间,状态译码器将秒脉冲引入红灯控制电路,使红灯闪烁。
图1 交通灯控制系统原理框图四、单元电路设计1.状态控制器设计(1)交通灯顺序工作流程图如图2所示。
30秒末到5秒末到20秒末到5秒末到图2 交通灯顺序工作流程图(2)状态控制器信号灯四种不同的状态分别用(主绿灯亮,支红灯亮)、S 1(主黄灯亮,支红灯闪烁)、S 2(主红灯亮,支绿灯亮)、S 3(主红灯闪烁,支黄灯亮)表示,其状态编码及状态转换图3所示。
交通信号灯控制器设计
把各个单元电路互相连接起来,进行系统通调。
3.定时系统
根据设计要求,交通灯控制系统要有一个能自动装入 不同定时时间的定时时间器,以完成30秒,20秒,5秒的定时 任务。时间状态由两片74LS47预置到减法计数器的时间常数通 过三片8路双向三态门74LS245来完成。三片74LS245的 输入数据分别接入30,20,5三个不同的数字,任一输入数据 到减法计数器的置入由状态译码器的输出信号控制不同 74LS245的选通信号码来实现。
状态.它们之间的关系如下真值表.对于信号灯的状态,``1”表示灯
亮,``0”表示灯灭.
状态控制器输出 主干道信号灯
支干道信号灯
Q2 Q1 R Y G r y g
0 0001 10 0 0 1010 10 0 1 0100 00 1 1 1100 01 0
根据真值表,可列出各信号灯的逻辑函数 表达式为:
根据设计任务要求,当黄灯亮时,红灯应按 1HZ的频率闪烁.从状态译码器真值表中看出, 黄灯亮时,Q1必为高电平;而红灯点亮信号与 Q1无关.现利用Q1信号去控制一三态门电路 74LS245(或模拟开关),当Q1为高电平时,将秒 信号脉冲引到驱动红灯的与非门的输入端,使 红灯在黄灯亮其间闪烁;反之将其隔离,红灯信 号不受黄灯信号的影响.
取一固定电阻47k与一5k 的电位器相串联代
替电阻R2。在调试电路时调节电位器Rp,使输出 脉冲周期为IS。
精品课件!
精品课件!
四.调试要点
首先调试秒信号发生器,用示波器监视秒信号发生器 的输出,调节电位器RW,使输出信号的周期为1秒。
交通灯控制电路设计课题报告
一、实验目的1、掌握综合应用理论知识和中规模集成电路设计方法2、熟练掌握万用电表、函数信号发生器、数字电路实验箱、示波器的正确使用方法。
3、能识别和正确使用各种所需的集成块、电阻、电位器等,熟悉集成块的管脚功能结构。
4、自行设计实验图、检查和排除故障。
5、掌握调试及电路主要技术指标的测试方法二、设计任务与要求有一条主干道和一条支干道的汇合点形成十指交叉路口,为确保车辆安全、迅速地行驶,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。
红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。
设计一个十字路口交通灯信号控制器,设计要求如下:1、用红、绿、黄三色发光二极管作信号灯,用传感器或用逻辑开关代替传感器作检测车辆是否到来的信号,设计制作一个交通灯控制器。
2、由于主干道车辆较多而支干道车辆较少,所以主干道处于常允许通行的状态,而支干道有车来才允许通行,当主干道允许通行亮绿灯时,支干道亮红灯。
而支干道允许通行亮绿灯时,主干道亮红灯。
3、当主、支干道均有车时,两者交替允许通行,主干道每次放行24s,支干道每次放行20s,设立24s,和20s计时显示电路。
4、在每次由亮绿灯转变成亮红灯的转换过程中间,要亮4s的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外,设置4s计时显示电路。
三、设计原理与参考电路1.分析系统的逻辑功能,画出其框图交通灯控制系统的原理框图如图12、1所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图中:TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。
定时时间到,TL=1,否则,TL=0。
图12、1 交通灯控制系统的原理框图2.画出交通灯控制器的ASM(Algorithmic State Machine,算法状态机)(1)图甲车道绿灯亮,乙车道红灯亮。
交通灯控制器设计(可编辑
交通灯控制器设计(可编辑首先,交通灯控制器的设计需要考虑以下几个方面:1.交通流量:根据不同的道路状况和交通流量的变化,调整交通灯的控制策略,以确保道路能够承载更多的交通流量。
2.交通安全:通过合理的交通信号灯定时设计,可以减少交通事故的发生,提高交通安全性。
3.节能环保:在交通灯控制器设计中,应考虑合理的定时方案,使得交通信号灯的能耗最低,从而减少对能源的浪费,降低对环境的污染。
接下来,我们将详细介绍交通灯控制器的设计步骤:1.确定交通流量和道路状态:通过交通监测设备获取道路上的交通流量和道路状况,包括车辆数量、车速、道路拥堵程度等信息。
2.分析交通流量和道路状况:根据获取到的交通流量和道路状况信息,分析道路上交通流量的分布和变化规律,以及道路的拥堵状况。
3.设计交通信号灯的定时方案:根据分析结果,设计合理的交通信号灯的定时方案。
定时方案应考虑各个道路的交通流量、拥堵情况和交通安全等因素,以确保交通灯控制器能够更好地调控交通流量,提高道路的通行能力。
4.实施交通灯控制方案:将设计好的交通信号灯的定时方案实施到交通灯控制器上。
交通灯控制器通过控制交通信号灯的亮灭和变化,来指引车辆通行。
5.监测和优化交通灯控制方案:在实施交通灯控制方案后,需要不断监测交通流量的变化和道路状况,根据实时的交通情况,对交通灯控制方案进行调整和优化,以确保交通流畅和道路安全。
交通灯控制器的设计需要综合考虑多个因素,包括交通流量、道路状况和交通安全等。
只有通过科学合理的设计,才能够更好地实现道路交通的安全和顺畅。
同时,随着智能交通技术的不断发展,交通灯控制器也将更加智能化,通过数据分析和预测等方法,来优化交通流量调控方案,提高交通效率和节能环保程度。
交通灯控制器设计
数字电路课程设计
(交通灯控制器设计、制作)
设计步骤参考
1. 分析控制对象:
(路口处交通灯设置情况)
交通灯设置图(自己补上)
2. 分析运行状态、功能:
交通灯运行状态分析
3.总功能框图:
交通灯运行控制功能模块图
4.总电路框图设计:实现具体功能主要功能模块,各个功能模块的控制关系、数据传递。
5.功能模块设计:可以多个方案,包括单独测试的原理图,并有详细原理说明。
6.总电路图设计:有详细的元器件参数和互相接线关系,可以分成多张画。
补充说明:为了简便电路图的绘制,使电路图简洁,数据线用总线结构的画法,但是元器件的名称、参数、集成块的型号必须标明,已使用的引脚标上名称,特别不能忘记控制引脚必须标明,并且标上输入值。
引脚的位置可以根据画图方便自由移动。
集成块的电源和接地不用画。
e d c
总线结构的画法
原理图绘制。
交通信号灯控制器设计5311856769
交通信号灯控制器设计一、电路功能该控制器能实现城市“十字”路口正常情况下以及特殊情况和紧急情况下交通信号灯的模拟控制。
1、在十字路口东西南北各设置红、黄、绿三种信号灯,如图1所示。
正常情况下,东西、南北方向轮流放行。
当东西方向(A线)放行、南北方向(B线)禁行时,东西方向(A线)绿灯亮25秒,然后黄灯亮5秒,南北方向(B线)红灯亮30秒;当南北方向(B线)放行、东西方向(A线)禁行时,南北方向(B线)绿灯亮25秒,然后黄灯亮5秒,东西方向(A线)红灯亮30秒。
如此循环,实现交通灯定时控制。
2、有急救车优先通过功能。
当有急救车到达时,路口的信号灯全部变红灯,以便急救车通过,急救车的通行时间为10秒,急救车过后,交通灯恢复先前状态。
3、交通灯在红、绿灯交替点亮中,用两个数码管显示点亮的灯还能持续的时间。
南图1 交通灯布置示意图二、实现方案1、电路图交通灯控制电路的核心元件采用单片机芯片AT89C51,其内部带有4KB的ROM,无须扩展存储器。
信号灯的控制由单片机的P1.0~P1.5控制,6只信号灯以共阴极方式连接,当P1口输出为高电平时,信号灯点亮,为实现上述控制要求,P1口共输出四种控制码,如表1所示。
表1:交通灯信号控制码2、控制程序(1)流程图软件包括主程序、延时、显示子程序、中断服务程序,各程序流程图如图2所示。
主程序流程图显示子程序流程图中断服务程序紧急情况图2 程序流程图(2) 控制程序 主程序: ORG 2000H MAIN : MOV DPTR ,#7F00HMOV A ,#0BH ;PA 、PC 口均为输出MOVX @DPTR ,AMAIN:SETB EA ;开中断SETB EX0MOV 50H,#1FH ;设置时间显示初值MOV P1,#0CH ;A道放行,B道禁行MOV R7,#19H ;延时秒数MAIN1:LCALL DISP ;调1秒延时、及显示子程序DJNZ R7,MAIN1MOV P1,#0AH ;A道警告,B道禁行MOV R7,#05H ;延时秒数MAIN2:LCALL DISPDJNZ R7,MAIN2MOV 50H,#1FH ;设置时间显示初值MOV P1,#21H ;A道禁行,B道放行MOV R7,#19H ;延时秒数MAIN3:LCALL DISPDJNZ R7,MAIN3MOV P1,#11H ;A道禁行,B道警告MOV R7,#05H ;延时秒数MAIN4:LCALL DISPDJNZ R7,MAIN4AJMP MAIN ;循环延时、显示子程序:ORG 2000HDISP:MOV R6,#01H ;设置显示位寄存器初值(右边一位MOV TMOD,#01H ;定时器T0设置为定时方式的模式1MOV R5,#0AH ;定时器操作循环10次DEL1MOV TH0,#3CH ;定时器100ms计数器的初始值MOV TL0,#B0HSETB TR0 ;启动定时器DEL2:DEC 50H ;显示秒数减1MOV A,50HMOV B,#0AHDIV B ;拆分秒数ADD A,#2BHMOVC A,@A+PC ;查表个位数的字形码MOV R1,A ;个位数字形码送R1MOV A,BADD A,#25HMOVC A,@A+PC ;查表十位数的字形码MOV R2,A ;十位数字形码送R2MOV A,R6 ;位控码MOV DRTP,#7F03HMOVX @DPTR,AMOV A,R1 ;送个位数显示MOV DPTR,#7F01HMOVX @DPTR,ALCALL DELAY ;延时1msMOV A,R6RL A ;位控左移MOV R6,AMOV DPTR,#7F03HMOVX @DPTR,AMOV A,R2 ;送十位数显示MOV DPTR,#7F01HMOVX @DPTR,ALCALL DELAY ;延时1msJNB TF0,$ ;检测100ms定时是否到CLR TF0DJNZ R5,DEL1 ;100ms循环控制RET ;返回TABLE: DB C0H,F9H,A4H,B0H,99HDB 92H,82H,F8H,80H,90HDELAY:MOV R7,#02H ;延时1ms子程序DEL3:MOV R6,#0F9HDEL4:DJNZ R6,DEL2DJNZ R7,DEL1RETEND中断服务程序:ORG 0003H ;外部中断0入口地址LJMP INTER0ORG 2100HINTER0:PUSH 50H ;保护现场PUSH P1MOV P1,#00H ;两车道禁止MOV R7,#0AH ;延时10秒INT0:LCALL DISP ;调显示DJNZ R7,INT0POP P1 ;恢复现场POP 50HRETI ;中断返回三、PCB板设计Title Nu mb erRev isio nSize A4Date:24-Ap r-2006Sh eet o f File:K:\学校组织创新大赛资料2006-4\p ro tel99图\实做教学.d d b Drawn By :1A121A241A361A482A1112A2132A3152A4171Y1181Y2161Y3141Y4122Y192Y272Y352Y431G 12G19U274LS240D03Q02D14Q15D27Q26D38Q39D413Q412D514Q515D617Q616D718Q719OE 1LE11U374LS373A1B 2C 3G 2A4G 2B 5G 16Y 77Y 69Y 510Y 411Y 312Y 213Y 114Y 015U574LS138EA/VP 31X119X218RESET 9RD 17W R16IN T012IN T113T014T115P101P112P123P134P145P156P167P178P0039P0138P0237P0336P0435P0534P0633P0732P2021P2122P2223P2324P2425P2526P2627P2728PSEN29ALE/P 30TXD 11RXD 10U68031A08A17A26A35A44A53A62A71A823A922A1019E/P 18OE 20VPP21D09D110D211D313D414D515D616D717U72716G F E D C B AA B C D E F G EVCCP10P11P12P13P14P15P16ALE P10P11P12P13P14P15P16P17A13A12A11A14A15VCCA10A9A8PSENPSENA8A9A10A11A12A13A14A15AD0AD1AD2AD3AD4AD5AD6AD7AD0AD1AD2AD3AD4AD5AD6AD7AD0AD1AD2AD3AD4AD5AD6AD7AD0AD1AD2AD3AD4AD5AD6AD7ALE ALE Y1Y2Y3Y4Y5Y6Y7Y 11A121A241A361A482A1112A2132A3152A4171Y1181Y2161Y3141Y4122Y192Y272Y352Y431G12G 19U874LS244D 03Q 02D 14Q 15D 27Q 26D 38Q 39D 413Q 412D 514Q 515D 617Q 616D 718Q 719O E1L E 11U474LS373Y 4D 0D 1D 2D 3D 4D 6D 5D 7D2D0D1D7D5D4D3D6d p 1d p 2d p 3d p 3D9LEDD10LEDD11LEDD12LEDD13LEDD14LEDD15LEDD16LEDC130PFC230PFY16MHZ 晶体R8200S2SW-PB R91kVCCC322u Fresetx 1x 2x 1x 2resetR10POT2U14BUZZERd p 31D I G 12D 3d p 24D I G 25d p 6-7(-)6d p 6-7(+)7D I G 38d p 8(+)9D I G 410B 19D I G 1-E 21A 17C 20d p11(+)12d p 9(+)15E 11d p 9(-)13d p 11(-)14G 22d p 1(+)23d p 1-2-3(-)24F 18d p 8(-)16U15E40301SR11250R12250R13250R14250R155.1K R175.1K R185.1KR165.1K VCC AD0AD1AD2AD3Y 2Y 3R23250R24R25R26R27R28R29R30I N A7I N B 1I N C 2I N D 6L E /S T B 5B L K 4L T 3S E G A 13S E G B 12S E G C 11S E G D 10S E G E9S E G F 15S E G G 14U174511单片机控制(交通灯)系统原理图四、电路制作五、控制器特点利用单片机实现对交通信号灯的控制,具有成本低,可靠性高的特点。
交通灯控制课程设计
交通灯控制课程设计一、课程目标知识目标:1. 学生能理解交通灯的基本工作原理,掌握交通灯控制系统的组成及功能。
2. 学生能描述交通灯控制过程中涉及到的电子元件及其作用。
3. 学生了解并掌握交通灯控制程序的设计方法。
技能目标:1. 学生能够运用所学知识,设计并搭建一个简单的交通灯控制系统。
2. 学生能够编写并调试交通灯控制程序,实现交通灯的自动切换。
3. 学生通过实际操作,提高动手能力、问题解决能力和团队协作能力。
情感态度价值观目标:1. 学生培养对电子技术和编程的兴趣,激发创新意识和探索精神。
2. 学生在学习过程中,认识到科技在生活中的应用,增强社会责任感和使命感。
3. 学生通过课程学习,养成严谨、细致的学习态度,培养良好的学习习惯。
课程性质:本课程为信息技术与电子技术的结合课程,注重理论与实践相结合。
学生特点:六年级学生具有一定的电子元件知识和编程基础,对新鲜事物充满好奇心,具备一定的动手能力和团队协作能力。
教学要求:结合学生特点,以实践操作为主,注重培养学生的动手能力、问题解决能力和团队协作精神。
在教学过程中,注重引导学生掌握交通灯控制系统的原理和设计方法,提高学生的技术应用能力。
通过课程目标的分解,使学生在完成具体学习成果的过程中,达到课程目标的要求。
二、教学内容1. 交通灯控制系统原理:介绍交通灯的基本工作原理,包括红绿灯信号的控制逻辑、交通灯的时序控制。
相关教材章节:第五章第三节“自动控制系统原理”。
2. 交通灯控制系统的组成:学习交通灯控制系统的各个组成部分,如控制器、信号灯、传感器等。
相关教材章节:第五章第四节“交通灯控制系统的组成与功能”。
3. 电子元件及其作用:了解并掌握在交通灯控制系统中常用的电子元件,如电阻、电容、二极管、晶体管等。
相关教材章节:第四章“常用电子元件及其特性”。
4. 编程设计:学习并掌握交通灯控制程序的设计方法,包括流程图绘制、编程语言编写。
相关教材章节:第六章“程序设计基础”。
交通灯控制器的设计
一设计任务有一条主干道和一条支干道的汇合点形成十字交叉路口,主干道为南北向,支干道为东西向。
为确保车辆安全,迅速地通行,在交叉道口的每个入口处设置了红,绿,黄3色信号灯。
要求:(1)主干道绿灯亮时,支干道红灯亮,反之亦然,两者交替允许通行,主干道每次放行55s,支干道每次放行25s。
每次由绿灯变为红灯的过程中,黄灯亮5s作为过渡。
(2)能实现正常的倒计时显示功能。
(3)能实现总体清零功能:计数器由初始状态开始计数,对应状态的指示灯亮。
(4)能实现特殊状态的功能显示:进入特殊状态时,东西、南北路口均显示红灯状态。
发挥部分:(1)增加左转允许控制功能(2)选择学校附近一个路口实地观察,按实际数据设计出该路口交通灯控制器。
二题目分析与整体构思1.该交通灯控制器应具备的功能根据设计任务主干道定为绿灯55sec,黄灯5sec,红灯30sec,支干道定为红灯60sec,绿灯25sec,黄灯5sec,,同时用数码管指示当前状态(红、黄、绿)剩余时间。
另外,设计一个特殊状态,当特殊状态出现时,两个方向都禁止通行,指示红灯,停止计时。
特殊状态解除后,恢复计数并指示时间。
2.实现方案从题目中计数值与交通灯的亮灭的关系如图所示:3.设计规划根据交通灯控制器的功能与要求,将其总体电路分为分频器、计数器(5s计时,、25s计时、55s计时)、控制器、译码器、数码器显示控制模块。
小组进行任务划分,我负责分频器和译码器模块。
三模块的原理及其程序1.分频器由于石英晶体振荡器产生的频率很高,要得到秒脉冲,需要用分频电路。
例如,振荡器输出4MHz信号,通过D触发器(74LS74)进行4分频变成1MHz,然后送到10分频计数器(74LS90,该计数器可以用8421码制,也可以用5421码制),经过6次10分频而获得1Hz 方波信号作为秒脉冲信号。
分频器实现的是将高频时钟信号转换成底频的时钟信号,用于触发控制器、计数器和扫描显示电路。
交通灯控制器课程设计
一.交通灯控制器设计要求
设计一个由一条支干道和一条主干道的汇合点形成的十字交叉路口的交通灯控制器,主要要求如下:
1.主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。
2.主干道处于常允许状态,两支干道有车来才允许通行。
3.当主、支干道有车时,两者交替通行,主干道每次放行45s支干道每次放行25s,在每次由亮绿灯变成亮红灯转换过程中,要亮5s黄灯作为过渡,并进行减计时显示。
二.交通灯控制器工作原理
三.交通灯控制器原理图
四.交通灯控制器调试及工作情况
五.注意事项
在主干道有车,支干道无车时候倒计时完后主干道数码管显示会停止在绿灯0S,支干道会停止在红灯0S,从而主干道常通,支干道有车后才开始倒计时;主干道和支干道都没车时数码管显示也停止在0S,这样的显示节省软件资源。
在设计中使用了5个模块,省去译码模块,用硬件的译码器代替,程序简明清晰。
不足的是倒计时5S时候黄灯不会闪烁,需要加以改进。
六.总结
EDA课程设计就要结束了,,通过这次学习,发现了自己的很多不足,发现了很多知识上的漏洞。
同时也看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。
这次课程设计让我学到了很多,不仅是巩固了先前学的EDA技术的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。
在课程设计中,我也曾经因为实践经验的缺乏失落过,也曾经仿真成功而热情高涨。
生活就是这样,汗水预示着结果也见证着收获。
劳动是人类生存生活永恒不变的话题。
虽然我这次做的课程设计不是非常的复杂,但在设计和调试的过程中,我也遇到了不少的困难,回首整个过程却受益匪浅。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
题目______ ___________ 班级___ _____________
学号_______ _____________
姓名__________ ______________
指导_______ _ ______________
时间___ ____________
电子技术课程设计任务书
目录
1、总体方案与原理说
明... ..... .. . . .. .. . .. .. ... . . ... .... .. . . . ... . . .. . .1
2、C l o ck的分频电
路. . .. . . ... . .. ..... . .. ... .. . . . . .. . . ....2 3、数码管显示电
路. . . . ... .... .. . .. . . . .. . .. .. . . ....... . ..
3
4、主控制电
路. . . .. .. . .. .. . ... . .... .. . .. . . . . . . . . . .. .. .4 5、交通灯显示电
路. . ... . ...... . ... ...... . . . . . . . .. .
.............56、总体电路原理相关说
明. .. . . .. . . . .. . .. . . . . . . .. ...... . . .. .6 7、总体电路原理
图..... . .. .. .... . ..... . ... . . .. . ...... (7)
8、元件清
单. . . . .. . . . .. ... . ...... . . . . . . . .. . . . . ... . . . . .8 参考文献. .. .. .. ... . .. .. . . ... .. . ... . . . .. . .. . . . .. ... ... . .... . ...... ....9
设计心得体
会. . . . . .. . . . .. ... . . .... ... ... .. ..... .. . . . . . . . ... . ... ... ..10
1、总体方案与原理说明
设计一个由主干道和一条支干道的汇合点形成的十字交叉路口的交通控制器,具体要求如下:
a.主支干道各设有一个绿、黄、红指示灯。
b.主干道处于常允许通行状态,而支干道有车来才允许通行。
c、当主、支干道均有车时,两者交替允许通行。
d、主、支干道每次方形时间不短于30s,在每次由亮绿灯编程亮红灯的转换过程中,要亮4s的黄灯作为过渡,并进行减计时显示。
系统设计方案:根据设计要求在这里用红、绿、黄三色发光二极管作信号灯。
主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。
红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。
在每次由亮绿灯变成亮红灯的转换过程中间,在这以亮5秒的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。
能实现正常的、即时显示功能。
并用四个七段数码管作为倒计时显示器。
分别显示东西、南北方向的红灯、绿灯、黄灯时间。
交通灯控制器框图如图下所示。
东西方向显示器南北方向显示器
图1 交通灯控制器框
2、Clock的分频电路
图2 Clock的分频电路(74292分频器)
每到第40个脉冲时转换红绿灯,在每次红绿灯转换的最后10秒,黄灯也起亮,达到提示的作用。
分频器一种是对模拟信号的处理。
是利用带通滤波器实现。
例如在音频功率放大器中把不同频率段的音频信号区分开,再进行放大输送给不同的扬声器还原成不同频段的声音。
这种分频器通常是利用电感电容对高低频信号的不同阻抗来把信号区分开。
另一类是对脉冲信号进行2的n次方分之一的分频,例如把32768HZ的脉冲信号变成1HZ的秒信号。
这类分频器通常是利用T触发器实现,每来一个脉冲后触发器状态改变一次,经过n个T触发器处理后就可以得到2的n次方分之一的分频信号。
3、数码管显示电路
图3 数码管显示电路(显示交通灯工作时间)
数码管工作原理是由7个发光二极管组成,行成一个日字形,它门可以共阴极,也可以共阳极.通过解码一般由单片机的程序来完成电路得到的数码接通相应的发光二极而形成相应的字。
7447工作电压为5V,常用的BCD 对七段显示器译码器/驱动器之IC包装计有TTL之7446、7447、7448、7449 与CMOS 之4511 等等。
在这主要是运用7447与七段显示器译码器连用。
7447 必须使用共阳极七段显示器,在正常操作时,当输入DCBA=0010 则输出abcdefg=0010010。
故使显示器显示"2"。
当输入DCBA=0110 时,输出abcdeg=1100000,显示器显示"6"。
4、主控制电路
图4 主控制电路(控制交通灯各种工作状态)
控制器是交通管理的核心,它应该能够按照交通管理规则控制信号灯工作状态的转换。
这里主要是用一个74160、一个与非门、一个与门、一个非门组成。
主控电路主要是通过74160实现的,74160是一个4位二进制的计数器,它具有异步清除端与同步清除端不同的是,它不受时钟脉冲控制,只要来有效电平,就立即清零,无需再等下一个计数脉冲的有效沿到来。
在这是运用74160的异步置零,只要(CR的非)有效电平到来,无论有无计数输入CLK脉冲,输出为“0”。
并且清零信号是非常短暂的,仅是过度状态,不能成为计数的一个状态。
清零端是低电平有效。
5、交通灯显示电路
图5 交通灯显示电路(红、黄、绿灯显示)
计时显示实际是一个定时控制电路,当30s、20s、5s任一计数器计数时,在主支干道各自可通过数码管显示出当前的计数值。
6、总体电路原理相关说明
交通信号灯是由交通灯控制器控制其红绿灯周期变化的,现在的大部分十字路交通灯采用的都是固定周期,由于实际路况较为复杂,不能有效地实时对时间资源的合理调配,达到缓解交通的目的。
交通灯控制系统的周期可以改变,黄灯和东西方向以及南北方向红灯时间由外部输入设定。
根据要求一个由主干道和一条支干道的汇合点形成的十字交叉路口的交通控制器,其中主支干道各有一个绿、黄、红指示灯,当干道处于常允许通行状态,而支干道有车来才允许通行,主、支干道均有车时,两者交替允许通行,且主、支干道每次方形时间不短于30s,在每次由亮绿灯编程亮红灯的转换过程中,要亮4s的黄灯作为过渡,并进行减计时显示。
为此设计如下电路图。
该交通灯控制器的整体图如下图所示。
它主要由分频器、数码显示电路、主控电路和交通灯显示电路(红、黄、绿灯显示)等部分组成。
分频器是该系统中数码显示电路和主控电路的标准时钟信号源,数码显示电路输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,主控电路是系统的主要部分,由它控制数码显示电路和交通灯显示电路的工作。
7、总体电路原理图
8、元件清单
参考文献
[1]陶涛.基于VHDL语言实现十字路口交通灯设计[J].青海交通科技,2006,(6).
[2]孙芹芝,张瑾,苏晓鹭.基于EDA的交通灯控制系统[J].今日电子,2005,(5).
[3]王艳玲,全宏瑞,桂明辉.基于EDA技术的数字电路课程设计[J].桂林师范高等专科学校学报,2009,(2).
[4]杨捷,蒋炜华,马临超.基于VHDL语言的交通灯控制器设计[J].河南机电高等专科学校学报,2008,(3).
[5]任旭虎,王心刚.VHDL数字系统设计[J].青岛大学学报(工程技术版),2001,(3).
[6]章彬宏主编.EDA应用技术.北京,北京理工大学出版社,2007.
[7]张秀娟,陈新华主编.EDA设计与仿真实践.北京,机械工业出版社,2009.[8]张德树.简易的十字路口交通信号灯控制电路[J].滁州学院学报,2004. [9]陈宗梅,交通灯控制系统电路设计[J].重庆职业技术学院学报,2005.
设计心得体会
通过这次交通灯控制器课程设计,我不仅加深了对交通灯理论的理解,将理论很好地应用到实际当中去,而且我还学会了如何去培养我们的创新精神,从而不断地战胜自己,超越自己。
创新可以是在原有的基础上进行改进,使之功能不断完善,成为真己的东西。
这个设计过程中,我们花费了大量的时间和精力,更重要的是,我们在学会创新的基础上,同时还懂得合作精神的重要性,学会了与他人合作通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。
在设计的过程中遇到问题,可以说得是困难重重,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,在同学的帮助下,不断的修改直到符合了自己的要求,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的。
最后,对给过我帮助的同学和老师再次表示忠心的感谢!。