交通灯控制器课程设计说明书
交通灯控制器的课程设计
课程设计课题:交通灯控制器的设计一、设计目的:学习QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。
在现代化的大城市中, 十字交叉路口越来越多,在每个交叉路口都需要使用红绿灯进行交通指挥和管理,红、黄、绿灯的转换要有一个准确的时间间隔和转换顺序,这就需要有一个安全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。
二、设计任务:1.满足如下时序要求:南北方向红灯亮时,东西方向绿灯亮,反之亦然。
2.每一方向的红(绿)黄灯共维持30秒。
3.当某一方向绿灯亮时,置显示器为30秒,然后以每秒减1计数方式工作,直至减到数为3秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒,减到为0,红绿灯交换,一次工作循环结束,进入下一步另一方向的工作循环。
4.红绿黄灯均采用发光二极管。
5.设计由晶振电路产生1Hz标准秒信号的单元电路。
6.要求对整体电路进行仿真,观察并记录下仿真波形。
三、设计原理:交通灯有四个状态:G1 Y1 R1 G2 Y2 R2S1. 亮灭灭灭灭亮S2. 灭闪灭灭灭亮S3. 灭灭亮亮灭灭S4. 灭灭亮灭闪灭然后重复状态S1.●分频器分频器实现的是将高频时钟信号转换成底频的时钟信号,用于触发控制器、计数器和扫描显示电路。
该分频器将时钟信号分频成1HZ和4HZ的时钟信号。
●控制器控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段数码管的分位译码电路。
此外,当检测到为夜间模式时,手动控制点亮黄灯的二极管。
●计数器这里需要的计数器的计数范围为30-0。
计到0后,下一个时钟沿回复到30,开始下一轮计数。
此外,当检测到夜间模式时,计数器暂停计数,而系统复位信号使计数器异步清零。
四、电路设计1、分频单元电路设计2、30减计数单元电路设计3、红黄绿灯控制单元电路设计4、译码显示单元电路设计●设计流程五、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jiaotong isport(clk,clr:in std_logic;clk1,clk2,clk3:buffer std_logic; ---分频信号pout:out std_logic_vector(6 downto 1);---东西南北的红绿黄灯状态表示C1:out std_logic_vector(3 downto 0);---BCD码高四位表示C0:out std_logic_vector(3 downto 0));---BCD码低四位表示end jiaotong;architecture one of jiaotong issignal g1,y1,r1,g2,y2,r2:STD_LOGIC;---1表示东西方向,2表示南北方向,g,y,r分别表示绿灯,黄灯,红灯signal div:integer range 0 to 20000000; ---分频1signal divn:integer range 0 to 4000000; ---分频2,用于控制黄灯闪烁type st is(s1,s2,s3,s4);---分别表示红绿黄灯的四种组合状态signal state:st;beginC:process(clk)beginif clk'event and clk='1' then---对20HZ进行分频,1HZif(div<19999999)thendiv<=div+1;clk1<='0';else div<=0; clk1<='1';end if;if(divn<2499999)then ---用于黄灯闪烁divn<=divn+1;clk2<='0';else divn<=0; clk2<='1';end if;end if;end process;process (clk1) isvariable t:integer :=31; ---初始赋值beginif clr='1' then ---夜间时为黄灯闪烁,且为手动控制pout(6)<='0'; pout(5)<=clk2 and '1'; pout(4)<='0';pout(3)<='0'; pout(2)<=clk2 and '1'; pout(1)<='0';else if(clk1'event and clk1='1') thent:=t-1;case state is ---四种组合状态转换when s1 =>G1<='1'; Y1<='0'; R1<='0'; G2<='0';Y2<='0';R2<='1'; if(t=3) then state<=s2;else state<=s1;end if;when s2 =>G1<='0';Y1<='1';R1<='0';G2<='0';Y2<='0';R2<='1';if(t=0) then state<=s3;t:=30;else state<=s2;end if;when s3 =>G1<='0';Y1<='0';R1<='1';G2<='1';Y2<='0'; R2<='0';if(t=3) then state<=s4;else state<=s3;end if;when s4 =>G1<='0';Y1<='0';R1<='1';G2<='0';Y2<='1';R2<='0';if(t=0) then state<=s1;t:=30;else state<=s4;end if;when others =>NULL;end case;case t is ---十进制数与BCD码一一对应赋值,输出时便于七段显示译码器显示when 0 => C1<="0000";C0<="0000";when 1 => C1<="0000";C0<="0001";when 2 => C1<="0000";C0<="0010";when 3 => C1<="0000";C0<="0011";when 4 => C1<="0000";C0<="0100";when 5 => C1<="0000";C0<="0101";when 6 => C1<="0000";C0<="0110";when 7 => C1<="0000";C0<="0111";when 8 => C1<="0000";C0<="1000";when 9 => C1<="0000";C0<="1001";when 10=> C1<="0001";C0<="0000";when 11=> C1<="0001";C0<="0001";when 12=> C1<="0001";C0<="0010";when 13=> C1<="0001";C0<="0011";when 14=> C1<="0001";C0<="0100";when 15=> C1<="0001";C0<="0101";when 16 =>C1<="0001";C0<="0110";when 17 =>C1<="0001";C0<="0111";when 18 =>C1<="0001";C0<="1000";when 19 =>C1<="0001";C0<="1001";when 20 =>C1<="0010";C0<="0000";when 21 =>C1<="0010";C0<="0001";when 22 =>C1<="0010";C0<="0010";when 23 =>C1<="0010";C0<="0011";when 24 =>C1<="0010";C0<="0100";when 25 =>C1<="0010";C0<="0101";when 26 =>C1<="0010";C0<="0110";when 27 =>C1<="0010";C0<="0111";when 28 =>C1<="0010";C0<="1000";when 29 =>C1<="0010";C0<="1001";when 30 =>C1<="0011";C0<="0000";when others =>NULL;end case;end if;pout(6)<=G1; pout(5)<=clk2 and Y1;pout(4)<=R1; ---东西南北六盏灯对应pout(3)<=G2; pout(2)<=clk2 and Y2;pout(1)<=R2; end if;end process ;end one;六、测试方法与测试结果1、测试仪器:QUARTUSⅡ2、测试方法:FPGA下载验证与仿真验证3、测试结果:满足设计要求以20HZ为基准仿真:仿真结果:C1:显示30减计数的个位C0:显示30减计数的十位POUT(6):东西方向绿灯控制端POUT(5):东西方向黄灯控制端POUT(4):东西方向红灯控制端POUT(3):南北方向绿灯控制端POUT(2):南北方向黄灯控制端POUT(1):南北方向红灯控制端白天●夜间黄灯闪烁(手动控制)●设计满足了1.30秒倒数显示2.两个方向灯的交替3.黄灯在最后3秒闪烁七、讨论该电路基本上满足了设计要求,电路简单,实现容易,节省器件。
课程设计---交通信号灯控制器
课程设计课程名称数字电子技术基础课题名称交通信号灯控制器专业应用物理班级学号课程设计任务书课程名称:数字电子技术题目:交通信号灯控制器专业班级:应用物理0801学生姓名:学号:指导老师:审批:任务书下达日期2011年6月06日星期一设计完成日期2011年6月17日星期五目录一、总体设计 (1)1.基本原理与设计思路 (1)2.总电路图 (3)二、单元电路分析 (4)1.用74LS160计数器构成5、21进制计数器 (4)2.D型锁存器构成控制电路 (6)三、故障分析与电路改进 (8)四、调试体会与总结 (9)五、附录 (10)1.元件器件清单 (10)2.课程设计成绩评分表 (11)一、总体设计1.基本原理与设计思路图1 交通控制灯电路设计& 如图1所示为交通控制电路设计方案图,根据概述中的设计思想及方法来实现下图(图2)的交通指示灯状态转换图中描述的指示灯的转换及每种状态维持的时间(用数码显示管来显示)。
南北向(主干道)绿灯亮时,东西向(支干道)红灯亮。
此时南北向上的车辆允许通行,东西向禁止通行。
绿灯亮足规定时间TL后,控制器发出状态转换信号ST,转到下一工作状态。
& 南北向(主干道)黄灯亮时,东西向(支干道)红灯亮。
此时东西向上的车辆禁止通行,南北向上已过停车线的车辆允许通行,未过停车线的车辆禁止通行。
黄灯亮足规定时间TY后,控制器发出状态转换信号ST ,转到下一工作状态。
& 南北向(主干道)红灯亮时,东西向(支干道)绿灯亮。
支干道上的车辆允许通行;绿灯亮足规定时间TL 后,控制器发出状态转换信号ST ,转到下一工作状态。
&南北向(主干道)红灯亮时,东西向(支干道)黄灯亮。
此时主干道上的车辆禁止通行,此时支干道上已过停车线的车辆允许通行,未过停车线的车辆禁止通行。
黄灯亮足规定时间TY 后,控制器发出状态转换信号ST ,转到第一种工作状态。
图2 交通指示灯状态转换图2.总电路图二、单元电路与分析1.用74LS160计数器构成5、21进制计数器图74LS160构成的5、21进制计数器计数器选用74LS160进行设计。
简易交通信号灯控制器课程设计
简易交通信号灯控制器课程设计简易交通信号灯控制器课程设计随着城市的不断发展和进步,道路的交通量也越来越大,为了保障路上行车的安全,交通信号灯的作用越来越重要。
因此,交通信号灯的控制技术也越来越成熟,并且不断地在不同的场合应用。
本课程设计是围绕交通信号灯控制技术而设计的,主要涉及到市面上的简易交通信号灯控制器的设计和实现。
主要目的是培养学生对于交通信号灯控制器的设计和实现方面的技术能力和实践能力。
同时,为学生提供实际应用的机会,帮助他们加深对于交通信号灯控制器的认识和了解,为未来的工作奠定基础。
一、课程背景和目的本课程设计的背景是为了帮助学生了解现代交通信号灯控制器的构造和工作原理,以及基本的电子设计和编程技术。
本课程的目的是激发学生处理电子设计、交通工程以及编程方面的兴趣,同时能够掌握设计简易交通信号灯控制器的基本技术和模块化思维能力。
二、课程内容和进度在本课程的整个设计过程中,主要包括以下四部分:1. 课程理论介绍:首先,介绍参与本课程设计的各种材料和工具。
然后,对交通信号灯控制器的基本原理、构造以及应用场景等基础知识做一个深入的介绍。
2. 课程实践操作:具体实践过程分为三步,第一步是制作信号灯控制器的基本框架;第二步是编写信号灯控制程序;第三步是进行信号灯控制器的调试。
3. 完成整个交通信号灯控制器实现:完成整个课程任务,实现对交通信号灯的控制,并对电路进行调试、优化和完整性测试。
4. 实验报告撰写:在实现过程中,需要记录整个电路的设计和实现过程,以便在实验报告中进行总结和反思。
同时,还需要评估实验的结果,并进行后续的改进和优化。
课程进度安排如下:第1阶段:理论介绍(1周)第2阶段:实践操作(2周)第3阶段:完成实现(1周)第4阶段:实验报告撰写(1周)三、教学方法本课程的教学方法主要采用理论与实践相结合的教学方法,注重实践操作的能力培养。
在理论介绍和实践操作环节中,采用展示讲解和互动学习的方式。
eda交通灯控制器课程设计
eda交通灯控制器课程设计课程设计:EDA交通灯控制器1. 课程背景和目标:EDA交通灯控制器是使用EDA(电子设计自动化)工具进行交通灯控制系统设计的课程。
学习该课程的目标是使学生能够熟练运用EDA工具进行交通灯控制系统设计,并能够理解交通灯控制系统的原理和设计方法。
2. 课程内容和安排:(1) 交通灯控制系统原理介绍:介绍交通灯控制系统的基本原理,包括信号机、信号控制方法和交通流量检测等。
(2) EDA工具介绍:介绍常用的EDA工具,如Verilog、VHDL等,并讲解其基本使用方法。
(3) 交通灯控制器设计流程:介绍交通灯控制器的设计流程,包括需求分析、功能设计、模块设计和系统集成等。
(4) 交通灯控制器设计实践:学生通过实践项目,使用EDA工具设计交通灯控制器。
项目涵盖设计、仿真、验证和生成等环节,学生需要独立完成设计并提交设计报告。
3. 课程教学方法:(1) 理论讲解:通过课堂讲解,介绍交通灯控制系统的原理和设计方法,以及EDA工具的使用方法。
(2) 实践项目:学生通过实践项目,运用所学知识设计交通灯控制器,并进行仿真、验证和生成等环节。
(3) 讨论和案例分析:通过课堂讨论和案例分析,加深学生对交通灯控制系统的理解和应用能力。
(4) 指导和批评:教师对学生的设计进行指导和批评,帮助学生不断提高设计能力。
4. 评估方式:(1) 实践项目报告:学生独立完成实践项目,并提交设计报告,包括设计过程、仿真结果和验证结果等。
(2) 课堂测试:通过课堂测试检验学生对交通灯控制系统原理和EDA工具的理解程度。
(3) 课堂表现:评估学生的课堂出勤情况、学习态度和参与度等。
5. 参考教材:(1) 《交通信号控制原理与技术》高新泽(2) 《EDA与数字电路设计》陈骏等(3) 《数字电路与系统设计》刘敏衡等(4) 《系统设计自动化技术与EDA工具应用》杨学庆等以上是对EDA交通灯控制器课程设计的简要介绍。
课程内容涵盖了交通灯控制系统的原理和设计方法,以及EDA工具的使用方法。
交通信号灯控制器
课程设计说明书课程设计名称:电子技术课程设计题目:交通信号灯控制器学院:学生姓名:专业:学号:指导教师:日期:年月日成绩交通信号灯控制器摘要:为控制行人和车辆通行秩序以及避免交通事故的发生人们设计产生了交通信号灯。
在十字路口或丁字路口四面都悬挂着红、黄、绿、三色交通信号灯,它用于指挥车辆及行人按次序通行。
红绿灯是国际统一的交通信号灯。
红灯是停止信号,绿灯是通行信号,黄灯是等待信号。
红灯亮,禁止直行或左转弯,在不碍行人和车辆情况下,允许车辆右转弯;绿灯亮,准许车辆直行或转弯;黄灯亮,停在路口停止线或人行横道线以内,已经继续通行;黄灯闪烁时,警告车辆注意安全。
本设计采用简单的芯片,能完成基本的红绿灯工作,并能倒计时。
关键词:交通信号灯,交叉路口,控制,仿真,protuesAbstract:For the control of pedestrian and vehicle traffic order and prevent trafficaccidents have been designed to produce the traffic lights. Or a T-junction at the crossroads all sides hung with red, yellow, green, three-color traffic lights, which is used to sequentially command vehicle and pedestrian traffic. Traffic lights are internationally uniform traffic lights. Red stop signal, traffic signal is green, yellow are waiting for the signal. Red light, go straight or left turn prohibition in the case does not hinder pedestrians and vehicles, allowing vehicles to turn right; the green light to allow vehicles to go straight or turn; yellow light, stop at the intersection stop line or crosswalk lines or less, it has continued to pass; yellow light is flashing, warning vehicle safety. This design uses a simple chip, to complete basic traffic lights work, and to the countdown.Keywords:Traffic lights, intersections, control, simulation,protues目录1 前言 (1)1.1 设计背景 (1)1.2 设计目标 (2)1.3 实施计划 (2)1.4 必备条件 (2)2 总体方案设计 (3)2.1 方案比较 (3)2.1.1 方案一 (3)2.1.2 方案二 (3)2.2 方案论证 (4)2.3 方案选择 (4)3 单元模块设计 (5)3.1 各单元模块功能介绍及电路设计 (5)3.1.1 秒脉冲发生器模块设计 (5)3.1.2 倒计时电路模块设计 (6)3.1.3 译码与倒计时显示模块设计 (7)3.1.4 3进制触发器模块 (7)3.1.5 信号灯显示模块 (8)3.2 特殊器件的介绍 (9)3.2.1 74ls192器件介绍 (9)3.2.2 74ls153器件介绍 (10)3.2.3 74ls139器件介绍 (11)4 系统调试 (13)4.1 调试环境 (13)4.2 硬件调试 (13)5 系统功能、指标参数 (15)5.1 系统能实现的功能 (15)5.2 系统指标参数测试 (15)5.3 系统功能及指标参数分析 (15)6 结论 (16)7 总结与体会 (17)8 谢辞 (18)9 参考文献 (19)附录 (20)1前言在城镇街道的十字交叉路口,为保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。
交通灯控制器课程设计
目录
1 设计任务及要求 2 总体设计方案 3 控制电路设计
3.1 控制电路工作原理 3.2控制电路设计过程
4 倒计时电路设计
4.1具有同步置数功能的十进制减法计数器设计 4.2主干道和支干道倒计时电路设计
5 译码显示电路设计
5.1动态显示工作原理 5.2动态显示及译码电路设计
共阴极数码管:将每个数码管的公共端(阴极)分别接三-八译码器的输出, 三-八译码器的输入为位选信号;将多个数码管的相同段接在一起,作为段码 输入端。
七段译码
报告要求
CONTENTS
01 封面
02 目录:四号 宋体
03
正文:小四 宋体 1.5 倍行距
04 参考文献 :五号 宋体
一号 宋体
四号 宋体
由具有同步置数功能的十进制减法计数器实现。
将2片级联实现2位十进制减法计数器。
当主干道或者支干道减法计数器值为01时,产生同步置数信 号,将下一状态计数初值置入。
状态
S0
00
S1
01
S2
10
S3
11
主干道预置数
D7D6 D5D4
D3D2 D1D0
0000
0101
0101
0000
0000
0101
0110
○ 黄灯每秒闪亮一次。
总体方案
时钟
红绿 灯
控制器
交通灯控 制器的功 能框图
倒计时 计数器
数码 显示扫描
管
、译码
设主干道绿灯、黄灯、红灯分别为G1、Y1、R1;支 干道绿灯、黄灯、红灯分别为G2、Y2、R2,并且 均用0表示灭,1表示亮,则交通灯有如下四种输出 状态:
状态
交通灯控制器数电课程设计
交通灯控制器数电课程设计交通灯控制器是一个常见的数电课程设计项目,下面是一个简单的交通灯控制器的设计方案:1. 需求分析:- 交通灯要能够按照规定的时间间隔不断切换状态。
- 交通灯的状态包括红灯、黄灯和绿灯,分别对应停止、警告和通行状态。
- 红灯、黄灯和绿灯的时间间隔可以根据实际需要进行调整。
2. 设计方案:- 使用数字时钟芯片,如NE555,来生成固定频率的时钟信号。
- 使用多路选择器,如74LS151,来选择不同的灯的状态输出。
- 使用逻辑门电路,如与门和或门,来实现灯的状态切换。
3. 设计步骤:- 使用时钟芯片来产生一个频率为1Hz的时钟信号。
- 使用分频器电路,如74LS90,将时钟信号的频率分为三等份,分别用于控制红灯、黄灯和绿灯的持续时间。
- 使用多路选择器74LS151,根据时钟信号的状态与分频器的控制信号,选择对应的灯输出高电平或低电平。
- 使用逻辑门电路,通过组合逻辑将时钟信号和选择器输出的灯状态进行控制,实现交通灯的状态切换。
4. 硬件设计:- 使用电路实验板、面包板或PCB板等硬件平台进行电路连接。
- 导入时钟芯片、分频器、多路选择器和逻辑门等器件。
- 连接器件之间的引脚,构建交通灯控制器电路。
5. 软件设计:- 使用VHDL、Verilog或其他HDL语言进行交通灯控制器的逻辑设计和仿真。
- 根据交通灯的时序要求设置时钟频率、分频器的初始状态和选择器的状态等参数。
- 通过仿真软件进行功能验证和时序分析,优化电路设计。
6. 实现与调试:- 将硬件连接完成后,使用示波器、逻辑分析仪等仪器对电路进行调试。
- 观察交通灯的状态是否按照预期进行切换。
- 根据实际需要调整各个灯的持续时间和时钟频率等参数,进行效果调试。
7. 总结:- 对交通灯控制器的设计进行总结和评估,包括可靠性、灵活性和可扩展性等方面。
- 提出改进方案,进一步优化交通灯控制器的设计。
注意事项:- 在设计过程中,要遵守相关的电路布线规范和安全操作规程。
交通灯控制器课程设计
交通灯控制器 课程设计一、课程目标知识目标:1. 理解交通灯控制器的基本原理,掌握其电路组成及功能。
2. 学习并掌握交通灯控制器中的基础电子元件及其工作原理。
3. 了解交通灯控制器的实际应用,理解其在交通安全中的作用。
技能目标:1. 能够运用所学知识设计简单的交通灯控制器电路。
2. 学会使用相关工具和仪器进行电路搭建和调试。
3. 提高分析问题和解决问题的能力,通过实践操作培养动手能力。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发他们探索科学的精神。
2. 增强学生的团队合作意识,培养沟通与协作能力。
3. 培养学生的安全意识,让他们明白遵守交通规则的重要性。
课程性质:本课程为电子技术实践课程,结合理论教学,注重培养学生的动手实践能力和创新思维。
学生特点:考虑到学生所在年级,已有一定的基础知识,具备初步的分析和解决问题的能力,对电子技术有一定的好奇心。
教学要求:在教学过程中,注重理论与实践相结合,鼓励学生积极参与讨论和实践活动,培养他们的自主学习能力和创新意识。
通过课程学习,使学生能够达到上述设定的具体学习成果。
二、教学内容1. 交通灯控制器原理- 红绿灯工作原理及切换逻辑- 时序控制器的基本概念- 电路图解读及元件功能分析2. 基础电子元件- 电阻、电容、二极管、三极管等元件的特性与应用- 传感器及其在交通灯控制器中的作用3. 交通灯控制器电路设计- 电路图的绘制与解读- 元件的选型与连接- 电路搭建及调试方法4. 实践操作- 搭建简单交通灯控制器电路- 编写控制程序,实现交通灯自动切换- 故障排查与电路优化5. 交通灯控制器应用案例- 实际交通场景中的交通灯控制器应用- 交通安全与节能减排的意义教学内容安排与进度:第一课时:交通灯控制器原理,红绿灯工作原理及切换逻辑第二课时:基础电子元件,电路图解读及元件功能分析第三课时:交通灯控制器电路设计,电路图的绘制与解读第四课时:实践操作,搭建简单交通灯控制器电路第五课时:编写控制程序,实现交通灯自动切换,故障排查与电路优化第六课时:交通灯控制器应用案例,讨论交通安全与节能减排的意义教材章节关联:本教学内容与教材中关于数字电路、电子元件、电路设计等相关章节紧密关联,通过本课程的学习,使学生能够将理论知识与实践相结合,提高综合运用能力。
交通信号灯(B)课程设计说明书
课程设计说明书题目:交通信号灯控制器(B)学生姓名:解旭东学号:200806040111院(系):电气学院专业:测控技术与仪器081指导教师:张俊涛2010 年 11月 30日目录1 选题背景 (1)1.1 指导思想 (1)1.2基本设计内容及要求 (1)1.3电路特点 (1)2 电路设计 (1)2.1总体设计思想 (1)2.2单元电路设计思想 (1)2.2.1定时器 (1)2.2.2控制器 (1)2.2.3译码器 (2)3各主要电路及部件工作原理 (2)3.1 脉冲发生器的设计 (2)3.2 计数电路设计 (3)3.3 控制电路设计 (3)3.4 显示电路设计 (3)4 原理总图 (4)5 元器件清单 (4)6使用主要仪器 (4)7仿真结果 (4)7.1 通电前检查 (4)7.2 通电检查 (4)7.2.1脉冲发生器的调试 (5)7.2.2 74LS04非门的调试 (5)7.2.3 74LS00与非门的调试 (5)7.2.4发光二极管的调试 (5)7.3 结果分析 (5)8 小结 (5)9 设计体会及今后的改进意见 (5)9.1 体会 (5)9.2 存在的问题及改进意见 (5)参考文献 (6)一.选题背景:在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。
交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。
1.指导思想由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,555定时器输出脉冲信号,译码器输出两组信号灯的控制信号,控制电路控制定时器和译码器的工作,驱动电路驱动六只三色LED工作。
简易交通灯控制的设计说明
沈阳航空航天大学课程设计任务书课程名称电子线路课程设计课程设计题目简易交通灯控制的设计课程设计的内容及要求:一、设计说明与技术指标设计一个简易交通灯控制逻辑电路,要求:1、东西方向绿灯亮,南北方向红灯亮,时间25s。
2、东西方向与南北方向黄灯亮,时间5s。
3、南北方向绿灯亮,东西方向红灯亮,时间15s。
4、如果发生紧急事件,可以动手控制四个方向红灯全亮。
二、设计要求1. 在选择器件时,应考虑成本。
2.根据技术指标,通过分析计算确定电路和元器件参数。
3.画出电路原理图(元器件标准化,电路图规范化)。
三、实验要求1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。
2.进行实验数据处理和分析。
四、推荐参考资料1.童诗白,华成英主编,模拟电子技术基础,高等教育出版社20062.闫石,数字电子技术基础(第五版)出版社,高等教育出版社20053.陈孝彬,555集成电路实用电路集,高等教育出版社2002-84.王刚,TTL集成电路应用,机械工业出版社,2000-10五、按照要求撰写课程设计报告成绩评定表:序号评定项目评分成绩1 设计方案正确,具有可行性,创新性(15分)2 设计结果可信(例如:系统分析、仿真结果)(15分)3 态度认真,遵守纪律(15分)4 设计报告的规范化、参考文献充分(不少于5篇)(25分)5 答辩(30分)总分最终评定成绩(以优、良、中、及格、不及格评定)指导教师签字:年月日一.方案设计根据任务要求,不妨设:S1:东西方向通行时间25s;S2:东西方向与南北方向黄灯亮,时间5s;S3:南北方向通行时间l5s;S4:如果发生紧急事件。
表1 主电路状态与指示灯状态转换表主电路状态东西方向绿灯G1南北方向红灯R2黄灯Y东西方向红灯R1南北方向绿灯G2S1 1 1 0 0 0S2 0 0 1 0 0S3 0 0 0 1 1S4 1 0 0 1 0 主电路要实现S1→S2→S3状态的循环转换,而且可以在任何一个状态进入S4,并能恢复正常工作状态。
交通灯控制课程设计
交通灯控制课程设计一、课程目标知识目标:1. 学生能理解交通灯的基本工作原理,掌握交通灯控制系统的组成及功能。
2. 学生能描述交通灯控制过程中涉及到的电子元件及其作用。
3. 学生了解并掌握交通灯控制程序的设计方法。
技能目标:1. 学生能够运用所学知识,设计并搭建一个简单的交通灯控制系统。
2. 学生能够编写并调试交通灯控制程序,实现交通灯的自动切换。
3. 学生通过实际操作,提高动手能力、问题解决能力和团队协作能力。
情感态度价值观目标:1. 学生培养对电子技术和编程的兴趣,激发创新意识和探索精神。
2. 学生在学习过程中,认识到科技在生活中的应用,增强社会责任感和使命感。
3. 学生通过课程学习,养成严谨、细致的学习态度,培养良好的学习习惯。
课程性质:本课程为信息技术与电子技术的结合课程,注重理论与实践相结合。
学生特点:六年级学生具有一定的电子元件知识和编程基础,对新鲜事物充满好奇心,具备一定的动手能力和团队协作能力。
教学要求:结合学生特点,以实践操作为主,注重培养学生的动手能力、问题解决能力和团队协作精神。
在教学过程中,注重引导学生掌握交通灯控制系统的原理和设计方法,提高学生的技术应用能力。
通过课程目标的分解,使学生在完成具体学习成果的过程中,达到课程目标的要求。
二、教学内容1. 交通灯控制系统原理:介绍交通灯的基本工作原理,包括红绿灯信号的控制逻辑、交通灯的时序控制。
相关教材章节:第五章第三节“自动控制系统原理”。
2. 交通灯控制系统的组成:学习交通灯控制系统的各个组成部分,如控制器、信号灯、传感器等。
相关教材章节:第五章第四节“交通灯控制系统的组成与功能”。
3. 电子元件及其作用:了解并掌握在交通灯控制系统中常用的电子元件,如电阻、电容、二极管、晶体管等。
相关教材章节:第四章“常用电子元件及其特性”。
4. 编程设计:学习并掌握交通灯控制程序的设计方法,包括流程图绘制、编程语言编写。
相关教材章节:第六章“程序设计基础”。
交通灯PLC控制系统设计说明书
一、课程设计的目的二、课程设计正文三、设计总结与体会四、参考文献课程设计评语课程设计成绩指导教师(签字)年月日注:此表必须在同一页面。
一、课程设计的目的当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。
但这一技术在19世纪就已出现了。
1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。
这是世界上最早的交通信号灯。
1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。
它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。
1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。
1918年,又出现了带控制的红绿灯和红外线红绿灯。
带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。
红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。
红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。
随着社会的发展,人们的消费水平不断的提高,私人车辆不断的增加。
人多、车多道路少的道路交通状况已经很明显了。
所以采用有效的方法控制交通灯是势在必行的。
PLC 的智能控制原则是控制系统的核心,采用PLC 把东西方向或南北方向的车辆按数量规模进行分档,相应给定的东西方向与南北方向的绿灯时长也按一定的规律分档. 这样就可以实现按车流量规模给定绿灯时长,达到最大限度的有车放行,减少十字路口的车辆滞流,缓解交通拥挤、实现最优控制,从而提高了交通控制系统的效率. 交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。
为了实现交通道路的管理,力求交通管理先进性、科学化。
用可编程控制器实现交通灯管制的控制系统,以及该系统软、硬件设计方法,实验证明该系统实现简单、经济,能够有效地疏导交通,提高交通路口的通行能力。
交通灯控制器说明书
目录1、实验任务 (3)2、实验目的 (3)3、设计方案 (3)4、参考电路设计 (4)5、实验仪器设备 (9)6、实验心得 (10)一.实验任务设计一个交通灯控制器,具体要求如下:1、以红,黄,绿三种颜色的发光管作为交通灯。
绿灯亮表示可以通行,红灯亮表示禁止通行.黄灯亮表示未通过的车辆禁止通行.2、每次放行时间为30秒,红转绿或绿转红时,需黄灯亮5秒作为过度。
二.实验目的1、掌握电子电路的一般设计方法和设计流程。
2、学习使用PROTEL软件绘制电路原理图和印刷版图。
]掌握应用EWB对设计的电路进行仿真,通过仿真结果验证设计的正确性。
控制器状态信号灯状态三.设计方案图1图1为交通灯控制器的一个参考设计方案。
在这一方案中,系统主要由控制器.定时器.脉冲信号发生器.译码器.信号灯组成。
TL.TY为定时器的输出信号,ST为控制器的输出信号。
当车道绿灯亮时,定时器开始记时,当记时到30秒时,TL输出为1,否则,TL=0;当车道黄灯亮后,定时器开始记时,当记时到5秒时,TY输出为1,否则,TY=0;ST为状态转换信号,当定时器数到规定的时间后,由控制器发出状态转换信号,定时器开始下一个工作状态的定时计数。
交通信号灯有四个状态,用S0. S1. S2 .S3来表示,并且分别分配编码状态为00 .01 .11. 10 ,控制状态为:表1.状态转换表图2 画出了控制器的状态转换图,图中TY和TL为控制器的输入信号,ST 为控制器的输出信号。
图2. 状态转换图四.参考电路设计1.定时器电路定时器由与系统秒脉冲(由时钟脉冲产生器提供)同步的计数器构成,要求计数器在状态信号ST作用下,首先清零,然后在时钟脉冲上升沿作用下,计数器从零开始进行增1计数,向控制器提供模5的定时信号TY和模30的定时信号TL。
计数器选用集成电路74LS163进行设计较简便。
74LS163是4位二进制同步计数器,它具有同步清零、同步置数的功能。
交通灯控制器课程设计
一.交通灯控制器设计要求
设计一个由一条支干道和一条主干道的汇合点形成的十字交叉路口的交通灯控制器,主要要求如下:
1.主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。
2.主干道处于常允许状态,两支干道有车来才允许通行。
3.当主、支干道有车时,两者交替通行,主干道每次放行45s支干道每次放行25s,在每次由亮绿灯变成亮红灯转换过程中,要亮5s黄灯作为过渡,并进行减计时显示。
二.交通灯控制器工作原理
三.交通灯控制器原理图
四.交通灯控制器调试及工作情况
五.注意事项
在主干道有车,支干道无车时候倒计时完后主干道数码管显示会停止在绿灯0S,支干道会停止在红灯0S,从而主干道常通,支干道有车后才开始倒计时;主干道和支干道都没车时数码管显示也停止在0S,这样的显示节省软件资源。
在设计中使用了5个模块,省去译码模块,用硬件的译码器代替,程序简明清晰。
不足的是倒计时5S时候黄灯不会闪烁,需要加以改进。
六.总结
EDA课程设计就要结束了,,通过这次学习,发现了自己的很多不足,发现了很多知识上的漏洞。
同时也看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。
这次课程设计让我学到了很多,不仅是巩固了先前学的EDA技术的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。
在课程设计中,我也曾经因为实践经验的缺乏失落过,也曾经仿真成功而热情高涨。
生活就是这样,汗水预示着结果也见证着收获。
劳动是人类生存生活永恒不变的话题。
虽然我这次做的课程设计不是非常的复杂,但在设计和调试的过程中,我也遇到了不少的困难,回首整个过程却受益匪浅。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
交通灯控制器课程设计说明书课程设计说明书学生姓名:____________ 学号:________________学院:_______________________________________专业:_______________________________________题目:_____________ 交通灯控制器_____________指导教师:职称:2010年1月15日目录1、实验任务 (3)2、实验目的 (3)3、设计方案 (3)4、参考电路设计 (4)5、实验仪器设备 (9)6、实验心得 (10)一.实验任务设计一个交通灯控制器,具体要求如下:1、以红,黄,绿三种颜色的发光管作为交通灯。
绿灯亮表示可以通行, 红灯亮表示禁止通行.黄灯亮表示未通过的车辆禁止通行.2、每次放行时间为30秒,红转绿或绿转红时,需黄灯亮5秒作为过度。
二.实验目的1、掌握电子电路的一般设计方法和设计流程。
2、学习使用PROTEL软件绘制电路原理图和印刷版图。
]3、掌握应用EWB对设计的电路进行仿真,通过仿真结果验证设计的正确性。
三.设计方案交通灯控制器参考方案图1 图1为交通灯控制器的一个参考设计方案。
在这一方案中,系统主要由控制器.定时器•脉冲信号发生器.译码器•信号灯组成。
TL.TY为定时器的输出信号,ST为控制器的输出信号。
当车道绿灯亮时,定时器开始记时,当记时到30秒时,TL输出为1,否则,TL=0;当车道黄灯亮后,定时器开始记时,当记时到5秒时,TY输出为1,否则,TY=0;ST 为状态转换信号,当定时器数到规定的时间后,由控制器发出状态转 换信号,定时器开始下一个工作状态的定时计数。
控制状态为:表1 •状态转换表图2画出了控制器的状态转换图,图中TY 和TL 为控制器的输入信号,ST 为控制器的输出信号。
00 .01 . 11.交通信 号灯有四个状态, 用SO. 来表 SI. S2 • S3 示,并且分别 分配编码状态为图2.状态转换图四.参考电路设计1 .定时器电路定时器由与系统秒脉冲(由时钟脉冲产生器提供)同步的计数器构成,要求计数器在状态信号ST作用下,首先清零,然后在时钟脉冲上升沿作用下,计数器从零开始进行增1计数,向控制器提供模5的定时信号TY和模30的定时信号TLo 计数器选用集成电路74LS163进行设计较简便。
74LS163是4位二进制同步计数器,它具有同步清零、同步置数的功能。
CTp、CTT是交通灯的ASM图数控制端,C0是进位输出端,D0〜D3是并行数据输入端,Q0〜Q 3是数据输出端。
由两片74LS163级联组成的定时器电路如图3所示。
TY和TL为计数器的输出信号。
ST为状态转化信号,每当ST输出一个正脉冲,计数器进行一轮计数。
电路图为:图3・计数器电路图2.控制器电路控制器是交通管理的核心,它应该能够按照交通管理规则控制信号灯工 作状态的转换。
从ASM 图可以列出控制器的状态转换表,如表所示。
选用两个D 触发器FF1、FFO 做为时序寄存器产生4种状态,控制器状态转换的条件为TL 和TY,当控制器处于Qln+lQ0n+l= 00状态时,如果TL= 0,则控制器保持在 00状态;如果,则控制器转换到Qln+lQ0n+l= 01状态。
这两种情况与条件TY 无关,所以用无关项"X"表示。
其余情况依次类推,同时表中还列出了状态转换 信号ST 。
JI10 ENP ENTPATADTA P ATA DIB DATA IN C DATA DID > CLK CLR WMLS163(16)143 1S、412 C511 06EbTP ENT DATAQIB ? CLK CLR W 7+LS163(16)OUTQA OUT QB OUTQC OUTQD CARRY1411 15U33OVIQA OVTQC OUTQD CARRYU22 HOT7 10输输入出现状态转换条件次态状态转换信号态Q1TL TY Qin Q2QOn ST0 00X0 0 0000 11X00 1111 1X001101X11 11100X1 110011X1 01X0100X10表2.控制器状态转换表将Qln+1> QOn+1和ST为1的项所对应的输人或状态转换条件变量相与,其中"1"表示反变量,然后将各与项相或,即可得到下面的方程:Qln+1 = lQln QOn TY + Qin QOn + QlnlQOnlTYQOn+1 = lQlnlQOn TL+1 Qin QOn + QlnlQOnlTLST = lQln QOn TL +lQln QOnTY + QlnQOnlTY+ Qin QOnTL根据以上方程,选用数据选择器74LS153来实现每个D触发器的输入函数, 将触发器的现态值()加到74LS153的数据选择输入端作为控制信号.即可实现控制器的功能。
控制器的逻辑图如图4所示。
图4中R、C构成上电复位电路。
保证触发器的初始状态为0,触发器的时钟输入端输入1HZ秒脉冲。
图4•控制器电路图(3)译码器译码器的主要任务是将控制器的输出Ql> Q0的4种工作状态,翻译成车道上4个信号灯的工作状态。
控制器的状态编码与信号灯控制信号之间的关系如表3所示。
0 01 0 0 0 0 1 0 1 0 0 1 1 0 0 1 0 11表3.控制器输出与信号灯之间的联系电路图为:图5•译码器2C応1C1C5 A1574LSI 、53I_LU154Y3-Y2Y1-卫卫卫Y1一Y0| |1\7严 Insert电路图(4) PROTEL 电路为:PROTEL电路图(5) PCB印刷板图为:图7・PCB 板图五、 实验仪器设备1. 脉冲发生器1只2.集成电路74LS74 2片,74LS153 3片,74LS163 2 片3.电阻10KQ 1只4.电容lOUfl 只5.或门6个,非门4个.其它发 光二极管3只。
六、 实验心得通过这次课程设计,加强了我们动手、思考和解决问题的能力。
在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可 以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很 多。
我做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多, 平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限, 所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路 中的使用有了更多的认识。
平时看课本时,有时问题老是弄不懂,做完课程设 计,那些问题就迎刃而解I Sheetl .Pcb 匚〉•匚2]CZCZ 匚:1U14 U2 U1u-tAND U3 AN D 回 US 郎o ——♦ J ------- — J U17 . UL5 UL6 U8 U7 74LG15374LS153 74LS153 NOT NOT NOT ULI ULO 74LS155 24LS153 24LS /D21 3 "L 沧•匸 Y1 CRYSTAL□19 D20 74LS153 2MLS&3 •£zi>*e cn} U22 NOT 匚:] 止 8 U17了。
而且还可以记住很多东西。
比如一些芯片的功能, 平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。
认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。
所以这个期末测试之后的课程设计对我们的作用是非常大的。
在制作PCB时,发现细心耐心,恒心一定要有才能做好事情,首先是线的布局上既要美观又要实用和走线简单,兼顾到方方面面去考虑是很需要的,否则只是一纸空话。
同时我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。
某个人的离群都可能导致导致整项工作的失败。
实习中只有一个人知道原理是远远不够的,必须让每个人都知道,否则一个人的错误,就有可能导致整个工作失败。
团结协作是我们实习成功的一项非常重要的保证。
而这次实习也正好锻炼我们这一点,这也是非常宝贵的。
通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论, 才能提高自己的实际动手能力和独立思考的能力。
在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。
这次课程设计终于顺利完成了,在设计中遇到了很多专业知识问题,最后在老师的辛勤指导下,终于游逆而解。
同时,在老师的身上我们学也到很多实用的知识,在次我们表示感谢!同时,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!。